Öğretici: Dijital devre. gerekli duruma getirmek için bir nesne üzerinde etkisi. Yukarıdaki dönüşümlerin çoğu, dijital mikro elektronik cihazlar kullanılarak gerçekleştirilebilir. UU tamamen dijital olduğunda

  • 18.04.2019

"DİJİTAL ŞEMA MÜHENDİSLİĞİ"

HARKOV 2006

Önsöz

1 DİJİTAL MİKRO DEVRE MÜHENDİSLİĞİNİN LOJİK VE KİMYASAL TEMELLERİ

1.2 Mantık kapıları

2 KOMBİNASYON ŞEMALARI

2.1 Genel hükümler

2.2 Kod Çözücüler

2.3 Karıştırıcılar

2.4 Demultiplexer'lar

2.5 Çoklayıcılar

2.6 Aritmetik cihazlar

3 TETİKLEME CİHAZI

3.1 Temel kavramlar

3.2 Asenkron RS flip-flop

3.3 Senkron tetikleyiciler

4 KAYIT

4.2 Bellek kayıtları

4.3 Vardiya kayıtları

4.4 Tersinir kayıtlar

4.5 Genel Amaçlı Kayıtlar

5 SAYAÇ

5.4 Tersinir sayaçlar

ÖNSÖZ

Bu metodolojik el kitabı, disiplinlerin incelenmesini sağlayan bilgileri içerir:

- Uzmanlık öğrencileri için "Dijital devre" 5.091504 (Bilgisayar ve akıllı sistem ve ağların bakımı);

- Uzmanlık öğrencileri için "Mikro devre" 5.090805 (Tasarım, üretim ve Bakım onarım elektronik ürünler);

- « Elektronik aletler ve Mikroelektronik "uzmanlık öğrencileri için 5.090704 (Radyo mühendisliği cihazlarının tasarımı, üretimi ve bakımı).

Bu çalışmada sunulan materyal, öğrencileri modern dijital mikro devrelerin temelleri ile tanıştırmayı amaçlamaktadır ve hem küçük ve orta derecede entegrasyon mikro devreleri şeklinde bağımsız ürünler olarak hem de yaygın olarak kullanılan ana dijital cihaz türlerini içerir. yüksek derecede entegrasyona sahip mikro devrelerin bir parçası: mikroişlemciler ve mikrodenetleyiciler.

Kılavuz beş bölümden oluşmaktadır:

Sayısal mikro devrelerin mantık ve devre temelleri,

Kombinasyon şemaları,

Tetik cihazları,

Kayıtlar,

Sayaçlar.

Materyalin sunumu, dijital cihazların analiz ve sentezinin temel teorik ilkelerini tutarlı bir şekilde "basitten karmaşığa" sunacak şekilde yapılandırılmıştır. Her bölüm, koşullu durum hakkında bilgi sağlayan alt bölümleri içerir. grafik atama incelenen cihaz, çalışma tablosu, işlevsel veya devre şeması ve gerektiğinde zamanlama çizelgeleri. Planların her biri verilir Detaylı Açıklamaçalışmasının mantığı, her öğrencinin dijital devrelerin çalışmalarını analiz etme ilkelerine hakim olacağı ve gerekli becerileri kazanacağı şekilde. Yukarıdaki şemaların her biri için tipiktir bu cihaz... Bu, başka bir devre uygulamasını hariç tutmaz.

Konuya hakim olmayı daha rahat ve görsel hale getirmek için temel kavramlar, tanımlar, kurallar "kalın" yazı tipiyle vurgulanmıştır.

Materyal sunumunun, incelenen dijital cihazların artan karmaşıklık sırasına göre gerçekleştirildiği ve sonraki her konunun bir öncekinin materyaline dayandığı göz önüne alındığında, verilerin kullanılması tavsiye edilir. metodolojik rehber karşılık gelen bölümlerin bulunduğu sırayla.

Bu kılavuz, yalnızca dijital mikro devrelerin teorik temellerini incelerken değil, aynı zamanda gerçekleştirmeye hazırlanırken de yararlıdır. laboratuvar işi amacı, bilgiyi derinleştirmek ve dijital cihazların montajında ​​ve hata ayıklamasında pratik beceriler kazanmaktır. Kılavuz, kendi kendine çalışmanın yanı sıra kurs ve diploma tasarımı için de kullanılabilir.

1 DİJİTAL MİKRO DEVRE MÜHENDİSLİĞİNİN LOJİK ve şematik TEMELLERİ

1.1 Mantık cebirinin temel kavramları

Mantık, yasaların ve düşünme biçimlerinin bilimidir.

Matematiksel mantık uygulama bilimidir matematiksel yöntemler Mantıksal problemleri çözmek için.

Tüm dijital bilgi işlem aygıtları, belirli mantıksal işlemleri gerçekleştiren öğeler üzerine kuruludur. Bazı öğeler, dijital veya diğer bilgileri temsil eden ikili sembollerin işlenmesini sağlar, diğerleri - bilgilerin iletildiği kanalların değiştirilmesi ve son olarak, üçüncü - kontrol, çeşitli eylemlerin etkinleştirilmesi ve bunların uygulanması için koşulların uygulanması.

Bu elemanların giriş ve çıkışlarında hareket eden elektrik sinyalleri, kural olarak, iki farklı seviyeye sahiptir ve bu nedenle, örneğin 1 veya 0 gibi ikili sembollerle temsil edilebilir. Bir olayın oluşumunu belirtmek için anlaşalım (örneğin, yüksek voltaj seviyesinin varlığı -veya devrenin noktası) sembolü 1. Bu sembole mantıksal birim denir. Herhangi bir olayın olmaması, mantıksal sıfır olarak adlandırılan 0 sembolü ile gösterilecektir.

Böylece, bir ikili elemanın girişindeki veya çıkışındaki her sinyale, yalnızca iki değer alabilen bir mantıksal değişken atanır: mantıksal birimin durumu (olay doğrudur) ve mantıksal sıfırın durumu (olay yanlıştır). ). Bu değişkenler, on dokuzuncu yüzyılda matematiksel mantığın temel ilkelerini geliştiren İngiliz matematikçi J. Boole'dan sonra Boolean olarak adlandırılır. Mantıksal bir değişkeni x sembolü ile gösterelim.

Çeşitli boole değişkenleri, işlevsel bağımlılıklarla bağlanabilir. Örneğin, y = f (x1, x2) ifadesi şunu gösterir: fonksiyonel bağımlılık argümanlar veya girdi değişkenleri olarak adlandırılan x1 ve x2 boole değişkenlerinden y boole değişkeni.

Herhangi mantıksal işlev her zaman en basit mantıksal işlemlerin bir koleksiyonu olarak temsil edilebilir. Bu tür işlemler şunları içerir:

Olumsuzlama ("DEĞİL" işlemi);

Mantıksal çarpma (bağlaç, "VE" işlemi);

Mantıksal ekleme (ayrılma, "VEYA" işlemi).

Olumsuzlama ("DEĞİL" işlemi), girdi mantıksal değişkeni x ile çıktı mantıksal değişkeni y arasında, y'nin yalnızca x yanlış olduğunda doğru olduğu ve tersine, y'nin yalnızca x doğru olduğunda yanlış olduğu mantıksal bir bağlantıdır. Bu işlevsel bağımlılığı doğruluk tablosu olarak adlandırılan tablo 1.1 şeklinde gösterelim.

Doğruluk tablosu, ikili argüman değerlerinin olası tüm kombinasyonlarının mantıksal bir işlevin değerlerine karşılık gelen bir tablodur.

Tablo 1.1- "DEĞİL" işleminin doğruluk tablosu

x y
0 1
1 0

y değişkeninin DEĞİL mantıksal işlevi y = olarak yazılır ve "y x değil" şeklinde okunur. Örneğin, x, yüksek seviyeli bir sinyalin (mantıksal olan) varlığına ilişkin bir ifade ise, o zaman y, düşük seviyeli bir sinyalin (mantıksal sıfır) varlığına ilişkin ifadeye karşılık gelir.

Mantıksal çarpma (bağlaç, "VE" işlemi), yalnızca çarpılmakta olan tüm değişkenler aynı anda doğru olduğunda doğru olan bir fonksiyondur. Mantıksal çarpma işleminin doğruluk tablosu tablo 1.2'ye karşılık gelir.

Tablo 1.2- Mantıksal çarpma işleminin doğruluk tablosu

x2 x1 y
0 0 0
0 1 0
1 0 0
1 1 1

VE işlemi bir nokta () ile gösterilir. Bazen nokta ima edilir. Örneğin, x1 ve x2 değişkenleri arasındaki “VE” işlemi y = x1 x2 olarak gösterilir.

Mantıksal toplama (ayrılma, "VEYA" işlemi), yalnızca değişkenlerin tüm terimleri aynı anda yanlış olduğunda yanlış olan bir işlevdir. Mantıksal toplama işleminin doğruluk tablosu tablo 1.3'e karşılık gelir. "VEYA" işlemi V işaretiyle gösterilir. Örneğin, y = x1 V x2.

Tablo 1.3 - Mantıksal toplama işleminin doğruluk tablosu

x2 x1 y
0 0 0
0 1 1
1 0 1
1 1 1

1.2 Mantık kapıları

1.2.1 Mantıksal öğeler hakkında genel bilgiler

Mantık kapıları elektronik devreler en basit mantıksal işlevleri uygulayan.

Mantıksal elemanlar, alanında bir sembolün gösterildiği, bu eleman tarafından gerçekleştirilen işlevi gösteren dikdörtgenler şeklinde şematik olarak temsil edilir. Örneğin, Şekil 1.1, NOT, AND, OR, AND-NOT, OR-NOT mantıksal işlevlerini uygulayan öğelerin açıklamasını gösterir.

Şekil 1.1-Semboller mantıksal öğeler DEĞİL, VE, VEYA, VE-DEĞİL, VEYA-DEĞİL

Giriş değişkenlerini solda ve çıkış değişkenlerini sağda göstermek gelenekseldir. Bilgi aktarımının soldan sağa gerçekleştiğine inanılmaktadır.

Bazı elemanların çıkışları diğerlerinin girişlerine bağlanırsa, daha fazlasını uygulayan bir devre elde ederiz. karmaşık fonksiyon... agrega farklı şekiller herhangi bir mantıksal işlevi yeniden oluşturmak için yeterli olan öğelere mantıksal temel adı verilir. AND ve NOT öğeleri böyle bir mantıksal temeli temsil eder.

Mantıksal temel, yalnızca bir tür elemandan oluşabilir, örneğin, diyagramı Şekil 1'de gösterilen AND─NE tipi bir eleman. 1.2.

Şekil 1.2- Bir NAND elemanı elde etme şeması

I─NE öğesinin çok yönlülüğü, dijital bilgi işlem teknolojisinde mantıksal aygıtların oluşturulmasında yaygın olarak kullanılmasını sağlamıştır.

En basit mantıksal işlevleri uygulayan bir dizi başka öğe de vardır. Bunlar, örneğin, iki değişkenin eşitsizliği işlevini uygulayan, toplama modulo iki (hariç VEYA) öğesinin bir öğesini içerir:

Böyle bir elemanın doğruluk tablosu ve sembolik tanımı Şekil 2'de gösterilmiştir. 1.3.

X2 X1 Sahip olmak
0 0 0
0 1 1
1 0 1
1 1 0

Şekil 1.3 - "Özel VEYA" öğesinin doğruluk tablosu ve sembolik tanımı

Eşitsizlik fonksiyonu, yalnızca xl ve x2 değişkenlerinin farklı değerlere sahip olması durumunda bire eşittir.

1.2.2 Mantık kapıları parametreleri

En basit dijital elemanlar aşağıdaki parametrelerle karakterize edilir:

tc ortalama hızı,

Yük kapasitesi (çıkış dallanma faktörü) n,

Giriş birleştirme faktörü (mantık elemanının giriş sayısı) t,

Gürültü bağışıklığı Un,

Güç tüketimi Рср,

Besleme gerilimi U,

Sinyal seviyesi.

Performans bunlardan biridir kritik parametreler, ortalama sinyal yayılım gecikme süresi ile karakterize edilir

devreyi açma ve kapatma gecikmeleri nerede ve nelerdir (Şekil 1.4).

Şekil 1.4-Devre açık ve kapalı gecikmeler

Yük kapasitesi, belirli bir mantıksal öğenin performansına zarar vermeden çıkışına aynı anda kaç mantıksal girişin bağlanabileceğini gösterir.

Giriş birleştirme faktörü, mümkün olan maksimum kapı girişi sayısını belirler. m'deki bir artış, bir eleman VE-DEĞİL, OR-DEĞİL, vb. üzerinde daha fazla sayıda argümanın bir fonksiyonunun uygulanması nedeniyle devrenin mantıksal yeteneklerini genişletir, ancak bu, performansı ve gürültü bağışıklığını düşürür.

Bağışıklık, bir elemanın girişim varlığında doğru şekilde işlev görme yeteneğini karakterize eder. Gürültü bağışıklığı, devrenin çalışabilirliğinin sağlandığı izin verilen maksimum parazit voltajı ile belirlenir.

Güç tüketimi, ortalama bir değer ile karakterize edilir

Рср = (Р0 + Р3) / 2,

burada P0 ve P3, devrenin açık ve kapalı durumlarındaki güç tüketimidir. Bu durumda cihazda her an devrelerin yaklaşık yarısının açık olduğu varsayılır. Bununla birlikte, karmaşık bir invertöre sahip cihazlarda güç tüketimi, anahtarlamalarının frekansına bağlıdır. Bu nedenle, izin verilen maksimum anahtarlama darbe tekrarlama hızında ve ikiye eşit görev döngüsünde ortalama güç tüketimini hesaba katmak gerekir. Bu gücü belirlerken, devrenin tam anahtarlama periyodu üzerinden ortalaması alınır.

Mantık elemanları, kullanılan güç kaynaklarının sayısı ve besleme voltajının değerleri ile giriş ve çıkış sinyallerinin polaritesi ve seviyesi ile de karakterize edilir.

1.2.3 Temel şemalar mantıksal öğeler

Dijital devrelerin tüm devre çeşitleri ve teknolojik yapısı arasında en yaygın olan iki ana tiptir: TTL ve MOS devreleri.

1.2.3.1 Temel TTL Entegre Devreler

TTL elemanlarının ana özelliği, içlerinde "VE" işlevini uygulayan çoklu yayıcı transistörlerin (MET) kullanılmasıdır. Temel entegre TTL devreleri NAND işlevini uygular ve iki tür çıkışa sahiptir: çıkış transistörü VT4'ün (R3, VT3, VD) toplayıcısında bir yük ile ve bir açık toplayıcı ile. Her iki seçenek de Şekil 1.5 ve 1.6'da gösterilmiştir.

Şekil 1.5-Çıkış transistörünün kollektöründe yük bulunan temel entegre TTL devresi

Şekil 1.6 - Temel Açık Kolektör TTL Entegre Devresi

Şekil 1.5'teki devrede, "DEĞİL" işlemini gerçekleştiren VT2-VT4 transistörleri üzerinde karmaşık bir invertör uygulanmaktadır, bu da devrenin yüksek yük kapasitesi, yeterli hız ve gürültü bağışıklığı sağlamasını mümkün kılmıştır. Ek olarak, çıkış devresinde + 5V devresi üzerinden R3 - VT3 - VD - VT4 - ortak kablo üzerinden geçiş akımı yoktur, çünkü herhangi bir durumda, VT3 veya VT4 transistörlerinden biri kapalıdır.

Şekil 1.6'daki açık kollektörlü devre, paralel olarak birden fazla çıkışa izin verir, bu da devrenin yük kapasitesini arttırır.

Farklı giriş sinyalleri setlerine karşılık gelen iki durum için temel TTL devresinin (Şekil 1.5) çalışma prensibini ele alalım.

Durum 1. MET VT1'in tüm girişlerine bir mantıksal birimin seviyesine karşılık gelen voltajlar uygulanırsa, o zaman emitör bağlantıları VT1 kapatılır ve akım, transistörün tabanına bir açık kollektör bağlantısı olan direnç R1 üzerinden akar. VT2, açılıyor. Şimdi akım, VT2 tarafından açılan direnç R2'den akar ve daha sonra emitör VT2'den gelen yükseltilmiş akım, çıkış çevirme transistörü VT4'ün tabanına girer, onu doygunluğa açar, böylece çıkışı ortak kabloya bağlar - ve voltaj çıktı Y mantıksal sıfır düzeyine karşılık gelir. Bu durumda, transistör VT3 kapanacaktır, çünkü tabanının potansiyeli 1V'u geçmeyecek, bu da VT3'ü açmak için yeterli değil.

Yok canım:

UbVT3 = UbeVT4 + UkeVT2 = 0,7 + 0,3 = 1V;

UeVT3 = UkeVT4 + UVD = 0,3 + 0,7 = 1V.

UbeVT3 = UbVT3 - UeVT3 = 1 - 1 = 0.

Durum 2. MET VT1'in en az bir girişi, mantıksal sıfır seviyesine karşılık gelen bir giriş voltajı gibi görünüyorsa, ilgili baz-yayıcı geçişi VT1 açılacak, MET doyma durumuna geçecek ve kollektörünün potansiyeli sıfıra yakın ol.

Daha kesin olarak, mantıksal sıfırın 0,3V'u geçmediğini ve açık taban-yayıcı bağlantısı VT1'deki voltaj düşüşünün 0,7V olduğunu varsayarsak, temel potansiyel VT1 0,3 + 0,7 = 1V'den fazla olmayacaktır. Sonuç olarak, VT2 kapanacak ve VT4 kapanacak, çünkü bunları açmak için her biri 0,7V'ye ve temel toplayıcı VT1 geçişini açmak için artı 0,7V'ye ihtiyacınız vardır. Bu nedenle, VT2 - VT4 zincirini açmak için, VT1 temelinde, ilk duruma karşılık gelen en az 0,7 + 0,7 + 0,7 = 2,1V olması gerekir.

Transistör VT3 tarafından açılacak aşağıdaki sebep... Çünkü VT2 kapalı, o zaman R2 üzerinden akım yok ve buna göre üzerinde voltaj düşüşü yok, bu nedenle VT2 toplayıcıdaki ve dolayısıyla VT3 bazındaki potansiyel 5V'a yükselecek. Çıkışta devre, + 5V'dan açık bir VT3 aracılığıyla sağlanan mantıksal bir birimin seviyesine karşılık gelen bir voltaj oluşturacaktır.

Ele alınan TTL devrelerine ek olarak, ana hatlar ile ortak çalışmayı sağlamak için üç durumlu devreler üretilmektedir (Şekil 1.7).

Şekil 1.7 - Temel Üç Durumlu TTL Entegre Devre

Bu devrelerin adı, aslında üç voltaj seviyeli mantık kapıları olmadıkları için yanıltıcı olabilir. Bunlar, üçüncü bir çıkış durumuna sahip olan en yaygın mantık devreleridir - "açık". Yük devresindeki bir dirençle elemanların tüm avantajlarını ve açık kollektör devresinin sahip olduğu ortak bir veriyolu üzerinde çalışma yeteneğini birleştirirler. Üç durumlu devrelerin ayrı bir kilitleme girişi C (genellikle CS (Çip Seçimi) olarak gösterilir) vardır, bununla (mantıksal bir sıfır uygulandığında), mantık girişlerinde hangi sinyallerin etki ettiğine bakılmaksızın üçüncü duruma ayarlanabilirler. Üçüncü durum, hem VT3 hem de VT4 transistörlerinin kapalı olması ve çıkışın + 5V'a veya ortak kabloya bağlı olmaması ile karakterize edilir.

Geliştirilmiş özellikleri nedeniyle genellikle açık kollektör devreleri yerine otobüs sürücüleri olarak kullanılırlar. Bu durumda, bir sonlandırma direnci kurmanıza gerek yoktur.

1.2.3.2 MOSFET Lojik Devreleri

Şu anda birkaç tip MOSFET mantık devresi mevcuttur. MOS yapılarındaki IC'lerin bir özelliği, bu devrelerde direnç olmaması ve doğrusal olmayan dirençlerin rolünün uygun şekilde bağlanmış transistörler tarafından oynanmasıdır. Yüksek yük kapasitesine ve gürültü bağışıklığına sahiptirler ve kristal yüzeyde küçük bir yer kaplarlar, teknolojik olarak gelişmiş ve ucuzdurlar. MOS-transistörler, çalışma prensibine göre, akımla değil voltajla kontrol edildikleri için elektronik lambalara benzer.

MOSFET devreleri, temel alınan devrelerden hala daha yavaştır. bipolar transistörler MOS transistörünün kapısı, kaynağı, tahliyesi ve substratı arasında oluşan ve yeniden şarj edilmesi belirli bir zaman alan oldukça önemli kapasitanslarla açıklanmaktadır.

En yaygın olanı, hem n-kanal hem de p-kanal transistörlerinin birlikte kullanıldığı CMOS devreleridir (tamamlayıcı MOS devreleri).

CMOS transistör devrelerinin avantajları, düşük güç tüketimi, yüksek hız ve artırılmış gürültü bağışıklığıdır. Hepsinin kalbinde CMOS mantık devreleri bir CMOS invertörü bulunur (Şekil 1.8).

Şekil 1.8 - CMOS invertör

Şekil 3'te alt transistör n-tipi kanala, üstteki transistör p-tipi kanala sahiptir. Her iki transistörün kapıları birleştirilir, bir kontrol voltajı ile beslenirler. Substratlar kaynaklara bağlıdır. Girişe yüksek seviyeli bir voltaj (mantıksal birim) geldiğinde, n tipi kanallı (alt) bir transistör açılır ve p tipi kanallı (üst) bir transistör kapanır. Çıkış, mantıksal bir sıfır sinyalidir.

Aksine, girişe mantıksal sıfır seviyesine karşılık gelen bir voltaj uygulandığında, üst transistör açılır ve alt transistör kapanır. Çıkış, mantıksal bir birim sinyalidir.

VEYA-DEĞİL işlevini uygulayan devre Şekil 1.9'da gösterilmiştir.

Şekil 1.9 - Diyagram VEYA CMOS DEĞİL

A girişine bir mantıksal birimin seviyesine karşılık gelen bir voltaj geldiğinde, transistör VT4 açılır ve VT1 kapanır, bunun sonucunda çıkıştaki voltaj mantıksal sıfır seviyesine karşılık gelir. A ve B girişlerine bir mantık sıfır seviyesine karşılık gelen bir voltaj uygulandığında, VT3 ve VT4 transistörleri kapanır ve VT1 ve VT2 açılır. Bu durumda, çıkıştaki voltaj, mantıksal bir birimin düzeyine karşılık gelecektir (yani, E voltajına yakın).

NAND fonksiyonunu uygulayan devre Şekil 1.10'da gösterilmiştir.

Şekil 1.10- Diyagram NAND CMOS

CMOS teknolojisinin dezavantajları arasında, transistörlerin bir miktar fazlalığı nedeniyle MOS teknolojisi ile aynı yüksek paketleme yoğunluğunu elde etmenin imkansız olduğu gerçeği yer alır. Bununla birlikte, CMOS devrelerinde, güç tüketimini önemli ölçüde azaltan sabit akım akmaz. statik mod... Dinamik modda, transistörlerin elektrotlar arası kapasitelerinin aşırı yüklenmesi ve tüm transistörlerin anahtarlama anında aynı anda açılması nedeniyle güç tüketimi artar, yani bu tür devrelerin güç tüketimi, anahtarlama frekansındaki artışla artar. .

1.3 Mantık cebirinin temel yasaları

Aşağıdaki temel yasalar mantık cebirinde kabul edilmiştir:

Yer değiştiren (değişmeli özellikler)

x1V x2 = x2V x1

x1 x2 = x2 x1

Kombinasyon (birleşim özellikleri)

x1 V (x2 V x 3) = (x1 V x2) V x 3

x1 (x2 x 3) = (x1 x2) x 3

Dağıtıcı (dağıtım özellikleri)

x1 V x2 x 3 = (x1 V x2) (x1 V x3)

x1 (x2 V x 3) = x1 x2 V x1 x3

Ters çevirme yasası (de Morgan kuralı)

bağ yasası

Hareket ve kombinasyon yasaları sıradan cebirde bulunur ve hiç şüphe yoktur.

Sıradan cebirde çarpma için dağıtım yasası ve ters çevirme yasası yoktur. Bu kanunların ispatı, belirli bir kanunu açıklayan denklemlerin sağ ve sol tarafları için doğruluk tabloları derlenerek yapılabilir.

Tersine çevirme yasası, ayrılmadan bağlaçlamaya veya tam tersine gitmek için kullanılabilir. Yani, örneğin, ters çevirme yasasını yansıtan ifadelerin sol ve sağ taraflarına ters çevirme uygularsak, , ve Ötesi ... NAND bazına gitmek için bir mantık devresi tasarlarken böyle bir dönüşüm gerekli olabilir.

Yapıştırma yasasında, her bir birleşik temel ürün çifti, ilk ürüne olumsuzlama olmadan ve ikinci ürüne olumsuzlama ile dahil edilen yalnızca bir değişkende (x2) farklılık gösterir. Bu tür temel ürünlere komşu denir. Komşu ürünlere yapıştırma yasası uygulanır, bunun sonucunda toplanan ürün sayısı azalır ve değişken sayısı bir azalır. Sadece değişmeyen değişken kalır.

1.4 Ayrık normal formlar

Aynı Boole fonksiyonunu yazmak için birçok farklı form kullanılabilir. Temel ürünlerin toplamlarını temsil eden formlara ayrık denir. normal formlar(DNF).

Temel bir ürün, yalnızca bireysel değişkenlerin veya olumsuzlamalarının faktör olduğu bir üründür.

Açıkçası, aynı işlev birçok farklı DNF ile temsil edilebilir. Ancak, işlevin benzersiz bir şekilde yazılabileceği DNF türleri vardır. Bu formlara mükemmel ayırıcı normal formlar (SDNF'ler) denir. SDNF, tüm değişkenlerin olumsuzlamalı veya olumsuzlamasız olduğu temel ürünlerin toplamı olarak tanımlanır.

SDNF işlevini doğruluk tablosuna göre yazma kuralı:

Fonksiyonu bire çeviren tüm girdi değişkenleri kombinasyonları için, bu kombinasyonda sıfıra eşit olan değişkenleri ters çevirerek elemanter ürünleri yazın ve elde edilen tüm elemanter ürünleri mantıksal toplama işaretleri ile bağlayın.

Bir örneğe bakalım. Fonksiyonun doğruluk tablosu tarafından verilmesine izin verin (tablo 1.4). SDNF fonksiyonunu doğruluk tablosuna göre yazmak gerekir.

Tablo 1.4- Doğruluk tablosu

x2 x1 x0 F(x2, x1, x0)
0 0 0 0
0 0 1 1
0 1 0 0
0 1 1 0
1 0 0 1
1 0 1 1
1 1 0 0
1 1 1 0

böyle bir fonksiyonun doğruluk tablosu, fonksiyonun bire eşit olduğu üç satır içerir. Bu satırların her biri, belirli bir girdi değişkeni kombinasyonuna karşılık gelir: 001, 100 ve 101.

Tablo 1.4'te sunulan fonksiyona SDNF yazma kuralını uyguluyoruz ve girdi kombinasyonlarına karşılık gelen üç temel ürün elde edeceğiz. Bu ürünleri mantıksal toplamın işaretleri ile bağladıktan sonra SDNF'ye ulaşıyoruz:

F(x2, x1, x0) =.

1.5 Boole İşlevlerini En Aza İndirme

SDNF her zaman en fazla değildir basit ifade fonksiyonlar. özdeş dönüşümler, mantıksal işlevlerin ifadelerini önemli ölçüde basitleştirmeyi (en aza indirmeyi) mümkün kılar. Her mantıksal işlev kullanılarak uygulanır belirli bir küme cihazlar. İfade ne kadar az öğe içeriyorsa, daha basit şema karşılık gelen mantıksal işlevi uygulayan. Bu nedenle, mantıksal işlevleri en aza indirgemek için yöntemleri düşünmek oldukça ilgi çekicidir.

Analitik ve tablosal minimizasyon yöntemlerini ayırt eder.

1.5.1 Analitik yöntemler

En yaygın olanı, doğrudan özdeş dönüşümler yöntemidir. Bu yöntem, mantık cebirinin belirli bir formüle özdeş dönüşümlerinin yasalarının ve kurallarının tutarlı bir şekilde uygulanmasından oluşur.

doğrudan dönüşümler yöntemi, açık algoritmalara uygun değildir. Bu yöntemin uygulanmasında kullanılan eylemler, orijinal dönüştürülmüş ifadenin türüne, icracının niteliklerine ve diğer öznel faktörlere göre belirlenir. Bu tür algoritmaların yokluğu, hata olasılığını ve tamamen küçültülmüş bir formül elde etme olasılığını önemli ölçüde artırır.

Doğrudan dönüşüm yöntemi en uygun olanıdır. basit formüller dönüşümlerin sırası sanatçı için açık olduğunda. Çoğu zaman, bu yöntem, diğer yöntemlerle minimize edildikten sonra elde edilen ifadelerin son minimizasyonu için kullanılır.

Komşu temel ürünleri aramayı algoritmalaştırma arzusu, geliştirilmesine yol açtı. tablo yöntemleri mantıksal fonksiyonların minimizasyonu. Bunlardan biri Karnot haritalarının kullanımına dayalı bir yöntemdir.

1.5.2 Karnaugh Haritalarını Kullanma

Karnot'un haritası grafiksel temsil mantıksal fonksiyonların doğruluk tabloları.

2n dikdörtgen hücre içeren bir tablodur, burada n mantıksal değişkenlerin sayısıdır. Örneğin, dört değişkenli bir fonksiyon için bir Karnot haritası 24 = 16 hücreye sahiptir. İki ve üç değişkenli fonksiyonlar için Karnot haritalarının yapısı aşağıda gösterilmiştir.

Şekil 1.11 - Doğruluk tablosu (a) ve iki değişkenli bir fonksiyon için Karnot haritalarının (b) yapısı

Şekil 1.12- Üç değişkenli bir fonksiyon için doğruluk tablosu (a) ve Karnot haritalarının yapısı (b)

Harita, giriş değişkenlerinin değerlerine karşılık gelen bir koordinat sistemi ile işaretlenir. Örneğin, üst çizgiüç değişkenli fonksiyon haritası, x1 değişkeninin sıfır değerine ve alttaki ise birlik değerine karşılık gelir. Bu kartın her sütunu iki değişkenin değerleriyle karakterize edilir: x2 ve x3. Her sütunu işaretleyen sayıların kombinasyonu, bu sütunun hücrelerine yerleştirilen fonksiyonun x2 ve x3 değişkenlerinin hangi değerleri için hesaplandığını gösterir.

Belirtilen değişkenler kümesinde işlev bire eşitse, SDNF'si mutlaka bu kümede birim değeri alan bir temel ürün içerir. Böylece, bir işlevi temsil eden Karnot haritasının hücreleri, SDNF'sinde temel ürünler olduğu kadar çok birim içerir ve her birim, temel ürünlerden birine karşılık gelir.

Karnot haritasındaki satır ve sütunların koordinatlarının ikili kodların doğal artan sırasına göre değil, 00, 01, 11, 10 sırasına göre takip edildiğine dikkat edin. Kümelerin sırasını değiştirme, komşu kümeler bitişik olacak şekilde yapılır. , yani... sadece bir değişkenin değerinde farklılık göstermiştir. Fonksiyonun bire eşit değerler aldığı hücreler birlerle doldurulur. Hücrelerin geri kalanı sıfırlarla yazılır.

Şekil 1.13'te gösterilen örneği kullanarak minimizasyon işlemini ele alalım.

İlk önce, k'nin bir tam sayı olduğu 2k hücre içeren dikdörtgenler oluşturuyoruz. Dikdörtgenler birleştirilir Bitişik hücreler komşu temel ürünlere karşılık gelen.

Şekil 1.13-Doğruluk tablosu (a) ve Karnaugh haritası (b)

Örneğin Şekil 1.13, b'de koordinatları 001 ve 101 olan hücreler birleştirilir.Bu hücreler birleştirildiğinde x1 değişkeninin değerini değiştirdiği bir dikdörtgen oluşur. Sonuç olarak, karşılık gelen temel ürünler birbirine yapıştırıldığında ve sadece x2 ve x3 kaldığında ve x2 değişkeni ters biçimde alındığında kaybolacaktır, çünkü 0.

İlk satırda (Şekil 1.13, b) bulunan hücreler bir tane içerir ve bitişiktir. Bu nedenle, hepsi 22 = 4 hücre içeren bir dikdörtgende birleştirilir.

Dikdörtgen içindeki x2 ve x3 değişkenleri değerlerini değiştirir; bu nedenle, ortaya çıkan temel üründen kaybolacaklar. x1 değişkeni değişmeden kalır ve sıfıra eşittir. Böylece, Şekil 1.13.6'nın ilk satırındaki hücrelerin birleştirilmesi sonucunda elde edilen temel ürün, ters biçimde aldığımız sadece bir x1 içerir, çünkü 0'a eşittir. Bu, özellikle, ilk satırın dört hücresinin dört temel ürünün toplamına karşılık gelmesi gerçeğinden kaynaklanmaktadır:

Şekil 1.6'ya karşılık gelen fonksiyon:

Tüm birimleri kaplayan dikdörtgenlerin toplamına örtü denir. Aynı hücrenin (örneğin, koordinatları 001 olan hücre) iki veya daha fazla kez kapsanabileceğini unutmayın.

Dolayısıyla, aşağıdaki sonuçları çıkarabiliriz:

1. Bir mantıksal fonksiyonun Karnot haritaları kullanılarak minimizasyonundan elde edilen formül, kapsama alanında bulunan dikdörtgenler kadar elemanter çarpımların toplamını içerir.

2. Bir dikdörtgende ne kadar çok hücre varsa, karşılık gelen temel üründe o kadar az değişken bulunur.

Örneğin, Şekil 1.14'te gösterilen Karnot haritası için, a, dört hücre içeren bir dikdörtgen, iki değişkenli bir temel ürüne karşılık gelir ve yalnızca bir hücreden oluşan bir kare, dört değişkenin tümünü içeren bir temel ürüne karşılık gelir.

Şekil 1.14-Dört değişkenli fonksiyonlar için Karnot haritaları

Şekil 1.14'te gösterilen kapsama karşılık gelen fonksiyon, a şu şekildedir:

Karnot haritalarının bir düzlem üzerinde çizilmesine rağmen, karelerin komşuluğu simit yüzeyinde belirlenir. Karnot haritasının üst ve alt sınırları, bir silindirin yüzeyini oluşturan "birbirine yapışıyor" gibi görünüyor. Yan sınırları yapıştırırken toroidal bir yüzey elde edilir. Yukarıdaki akıl yürütmeyi takiben, Şekil 1.14, b'de gösterilen 1011 ve 0011 koordinatlarına sahip hücrelerin bitişik olduğunu ve bir dikdörtgende birleştirildiğini tespit ediyoruz. Gerçekten de, belirtilen hücreler, temel ürünlerin toplamına karşılık gelir.

Diğer dört birim hücre de aynı şekilde birleştirilir. Bunları birleştirmenin bir sonucu olarak, temel bir ürün elde ederiz. Son olarak, Şekil 1.14'te gösterilen kapsama karşılık gelen fonksiyon, b formuna sahiptir.

Şekil 1.7, c'de gösterilen Karnot haritası, köşelerde bulunan birim hücreleri içerir. Dört hücrenin tümü bitişiktir ve birleştirildikten sonra temel bir ürün verecektir.

Yukarıda ele alınan örnekler, aşağıdakileri formüle etmemize izin verir:

Karnot haritalarını kullanarak mantıksal işlevleri en aza indirme sırası

1. n değişken için bir tablo görüntülenir ve kenarları işaretlenir.

2. Tablonun, fonksiyonu bire çeviren değişken kümelerine karşılık gelen hücreleri bir, kalan hücreler sıfırlarla doldurulur.

3. Seçildi en iyi kapsama konturlarla ana hatlarıyla çizdiğimiz düzenli dikdörtgenlere sahip tablolar. Her dikdörtgen 2n hücre içermelidir.

4. Aynı hücreler farklı konturlara dahil edilebilir.

5. Dikdörtgenlerin sayısı minimum, dikdörtgenlerin alanı maksimum olmalıdır.

6. Her dikdörtgen için, yalnızca değerini değiştirmeyen değişkenlerin çarpımını yazın. Bu değişken sıfıra eşitse, ters biçimde yazılır.

7. Elde edilen ürünleri mantıksal toplama işaretiyle birleştiriyoruz.

BCD kullanırken Ondalık basamak içlerinde dört ikili basamakla temsil edilir. Tüm olası 16 kod kombinasyonundan sadece 10'u kullanılır ve geri kalan kombinasyonlar yasaktır ve asla gerçekleşemez. Bazı fonksiyonların değişken kümeleri yasaklanmışsa, bu kümelerdeki değerleri tanımlanmaz ve doğruluk tablosunda X ile işaretlenir.

Tüm giriş değişkenleri için değerleri tanımlanmayan ikili fonksiyonlara eksik tanımlı denir.

Eksik olarak küçültüldüğünde belirli işlev yeniden tanımlanmalıdır, yani Karnot haritasının hücrelerinin tanımsız değerleri keyfi olarak birler veya sıfırlarla değiştirilmelidir. Küçültülmüş işlev formülünün en basit olacağı seçeneği seçmeniz önerilir.

1.6 Birleşimsel mantık devrelerinin sentezi

Sentez, belirli bir mantıksal işlevi yerine getiren işlevsel bir devre elde etme sürecidir.

Mantık devreleri geliştirme süreci, aşağıdaki eylem dizisini içerir:

1) Doğruluk tablosundan Karnot haritasına gidin

2) Küçültme işlemini gerçekleştiririz ve küçültülmüş mantıksal ifadeyi elde ederiz. belirli bir işlev(bkz. 1.5.2)

3) Ortaya çıkan mantıksal ifadeyi, tersine çevirme yasasını kullanarak NAND tabanına dönüştürüyoruz.

Bir örneğe bakalım. Şekil 1.15 a'da gösterilen doğruluk tablosu tarafından verilen mantıksal bir yapı oluşturun.

Şekil 1.15-Doğruluk tablosu (a) ve Karnaugh haritası (b)

1) Karnot haritasına gidin ve bitişik hücreleri Şekil 1'de gösterildiği gibi dikdörtgen konturlu olanlarla çizin. 15 b.

2) Karnot haritasında gösterilen konturları kullanarak aşağıdaki mantıksal ifadeyi elde ederiz.

3) Ortaya çıkan mantıksal ifadeyi AND-NOT tabanına dönüştürüyoruz

4) Mantıksal bir yapı oluşturmak

Şekil 1.16 - Şekil 1.15'te doğruluk tablosu tarafından belirtilen işlevi uygulayan mantıksal bir yapı

2 KOMBİNASYON ŞEMALARI

2.1 Genel hükümler

Mantıksal elemanlar bağlandığında, devreleri mantıksal olarak adlandırılan cihazlar oluşur. Birleşimsel ve sıralı şemalar arasında ayrım yapın.

Kombinasyon devreleri, belirli bir andaki değerleri yalnızca aynı andaki giriş değişkenlerinin değer kümesi tarafından belirlenen ve girişin önceki değerlerine bağlı olmayan işlevleri uygular. değişkenler.

Bu tür şemalar hakkında hafıza özelliğine sahip olmadıklarını söylemek gelenekseldir (tarih öncesi, dönüşümün sonucunu etkilemez). Her gerçek mantıksal öğenin, girişe göre çıkış sinyalindeki değişiklik için belirli bir gecikme süresi olduğuna dikkat edin. En önemli kombinasyon devreleri aşağıdaki cihazları içerir:

kod çözücüler,

Karıştırıcılar,

çoğullama çözücüler,

çoklayıcılar,

Toplayıcılar.

2.2 Kod Çözücüler

Bir kod çözücü (kod çözücü), n-bitlik bir konumsal kodu bir m-bitlik üniter koda dönüştüren bir cihazdır, yani. sadece bir veya sıfır içerir.

Kod çözücünün n girişi ve m (m ≤ 2n) çıkışı vardır. Geleneksel grafik sembollerinde kod çözücüler DC (İngilizce kod çözücüden) olarak adlandırılır.

Şekil 2.1, geleneksel bir grafik gösterimi (UGO) ve iki girişli bir kod çözücünün (2: 4) çalışma tablosunu gösterir.

girişler çıktılar
x1 x0 0 1 2 3
0 0 1 0 0 0
0 1 0 1 0 0
1 0 0 0 1 0
1 1 0 0 0 1

Şekil 2.1-İki girişli bir kod çözücünün (2: 4) geleneksel grafik gösterimi ve çalışma tablosu.

İki girişli kod çözücünün çalışma tablosundan, üzerinde bir tane bulunan aktif çıkışın sayısının, ondalık bir sayı olarak gösteriliyorsa, girişlerdeki ikili kodla çakıştığını takip eder. Örneğin, 012 = 110, 102 = 210, 112 = 310.

Doğruluk tablosunu ve SDNF yazma kuralını kullanarak her bir çıkışın fonksiyonlarını yazdığımız iki girişli bir kod çözücü devresi oluşturalım (bkz. 1.4): Çıkış 0 -, Çıkış 1 -, Çıkış 2 -, Çıkış 3 -. Elde edilen mantıksal ifadelere dayanarak Şekil 2.2'de gösterilen devreyi elde ederiz.

Şekil 2.2-İki girişli bir kod çözücünün şeması (2: 4)

2.3 Karıştırıcılar

Kodlayıcı, m giriş ve n çıkışa (m ≤ 2n) sahip olan ve m bitlik bir üniter kodu n bitlik bir konum koduna dönüştüren bir cihazdır.

Geleneksel grafik sembollerinde kodlayıcılar CD olarak gösterilir.

Kodlayıcıların amacı, tek giriş sinyallerini, giriş sinyallerini kodlamanın karşılık gelen yöntemiyle belirlenen çıkışlarda karşılık gelen kod kombinasyonlarına dönüştürmektir. Kodlayıcının her bir girişi, olası çıkış değişkenleri kümelerinden yalnızca birine karşılık gelir. Kodlayıcının çıkışlarındaki karşılık gelen kod kombinasyonu, ancak ve ancak o zaman, girişinde bu çıkış kombinasyonuyla ilişkili tek bir sinyal göründüğünde görünür.

Numaralandırıcı girişleri, i-inci girişte tek bir sinyalin görünmesi, ikili sistemde yazdığım sayı olan bir çıkış kümesinin görünümüne yol açacak şekilde numaralandırılır. Şekil 2.3, sekiz girişli bir kodlayıcının işlevsel diyagramını ve doğruluk tablosunu gösterir.

girişler çıktılar
X0 X1 X2 X3 X4 X5 X6 X7 U2 Y1 Y0
0 0 0 0 0 0 0 1 1 1 1
0 0 0 0 0 0 1 0 1 1 0
0 0 0 0 0 1 0 0 1 0 1
0 0 0 0 1 0 0 0 1 0 0
0 0 0 1 0 0 0 0 0 1 1
0 0 1 0 0 0 0 0 0 1 0
0 1 0 0 0 0 0 0 0 0 1
1 0 0 0 0 0 0 0 0 0 0

Şekil 2.3 - Sekiz giriş için enkoderin fonksiyonel diyagramı ve doğruluk tablosu.

2.4 Demultiplexer'lar

Demultiplexer, bir bilgi girişinden gelen sinyallerin istenen sırayla birkaç çıkışa dağıtıldığı bir cihazdır.

Demultiplexers, grafik sembollerinde DMX olarak adlandırılır. Şekil 2.3, çoğullama çözücünün geleneksel bir grafik gösterimini ve çalışma tablosunu göstermektedir.

Adres çıktılar
A1 A0 0 1 2 3
0 0 NS 0 0 0
0 1 0 NS 0 0
1 0 0 0 NS 0
1 1 0 0 0 NS

Şekil 2.4-UGO ve çoğullama çözücünün çalışma tablosu 1: 4

Burada x girişi bir bilgi girişidir, A0 A1 girişleri adreslenebilir, çıkışlardan hangisinin x'i tekrarlayan sinyaller üreteceğini belirleyen kod. Çıkış numarasını adres kombinasyonu ile belirleme ilkesi, kod çözücününkiyle aynıdır. Adres girişleri ile demultiplexer, tasarımına bağlı olarak 2m'ye kadar çıkışa sahip olabilir.

x bilgi girişindeki 1: 4 çoğullayıcı, potansiyel U1'i (mantıksal birim) korursa, girişleri A0 ve A1 olacak olan 2: 4 kod çözücü olarak çalışacaktır. Bu nedenle, bir kod çözücü ile bir çoğullama çözücü arasında temel bir fark yoktur ve fark x girişindeki sinyallerin türüne bağlıdır: zamanla değişirlerse, bu bir çoğullama çözücüdür, değilse bir kod çözücüdür. Kod çözücüler genellikle bu girişe sahip değildir ve aktif çıkıştaki çıkış sinyallerinde önceden bilinen bir tane bulunur. Yukarıdakiler, Şekil 2.5'te gösterilen çoğullayıcı devre tarafından onaylanır.

Şekil 2.5 - 1: 4 demultiplexer şeması

Gerçekten de, eğer x = 1 ise, o zaman tüm kapılar & açıktır ve çıkış sinyalleri, çoğullama çözücüde bulunan kod çözücünün sinyallerini tam olarak tekrarlar. x sinyalinin keyfi bir değeri ile, A0 ve A1 girişlerinde kod tarafından belirtilen kod çözücünün çıkışından "1" sinyali tarafından açılan bu I kapısının çıkışında görünecektir.

2.5 Çoklayıcılar

Çoklayıcı, bilgi girişlerinden birinden gelen sinyallerin istenen sırayla tek bir çıkışa beslendiği bir cihazdır.

Çoklayıcılar, grafik sembollerinde MUX olarak anılır. Şekil 2.6, 4:1 çoklayıcının grafiksel düzenini ve çalışma tablosunu gösterir.

Adres Çıktı
A1 A0 F
0 0 Giriş 0
0 1 giriş 1
1 0 giriş 2
1 1 giriş 3

Şekil 2.6-Çoklayıcı 4: 1'in geleneksel grafik gösterimi ve çalışma tablosu

Burada 0,1,2,3 girişleri bilgi girişleridir, A0 ve A1 adreslenebilir, F çıkışına iletilmek üzere sinyallerin hangi girişlerden alınacağını belirleyen kod. adres kombinasyonu, kod çözücü ve çoğullama çözücününkiyle aynıdır. Adres girişleri ile çoklayıcı, tasarımına bağlı olarak 2m'ye kadar girişe sahip olabilir. Dört girişli çoklayıcı (4: 1) şeması Şekil 2.7'de gösterilmiştir.

Şekil 2.7- Çoklayıcı 4: 1 şeması

Diyagramdan, giriş sinyallerinden birinin, A0 ve A1 girişlerinde kod tarafından belirtilen kod çözücünün çıkışından "1" sinyali tarafından açılan AND geçidinden geçtiği izlenir. Kalan elemanların çıkışlarında VE şu anda, seçilen girişten VEYA öğesinden çıkışa bilgi geçişini engellemeyen "0" sinyalleri vardır.

m adres girişli bir çoklayıcı, m argümandan rastgele bir mantıksal işlev uygulamak için kullanılabilir.

Gerekli işlevin uygulanması, doğruluk tablosu temelinde gerçekleştirilir. Argüman kümelerinin değerleri adres girişlerinde belirtilir. Ve bilgi girişleri, "0" ve "1" sinyal kaynaklarına, her bir giriş setindeki çıkışa bağlı olan girişte doğruluk tablosuna karşılık gelen bir sinyal değeri olacak şekilde bağlanır. . Örnek olarak, Şekil 2.8, doğruluk tablosunda gösterilen fonksiyonun uygulanması için bir çoklayıcı bağlantı şemasını göstermektedir.

Şekil 2.8- Verilen bir mantık fonksiyonunu uygulamak için çoklayıcı kullanma

Orta derecede entegrasyona sahip mikro devreler olarak tasarlanan kod çözücüler ve çoğullayıcı çözücüler, bilgi ve ölçüm teknolojisinde yaygın olarak kullanılmaktadır. Çoklayıcılar gibi, genellikle sayaçlar ve kayıtlarla birlikte kullanılırlar. Operasyonel ve salt okunur bellek cihazlarında verilerin çoğullanmasının çözülmesi ve adres mantığının düzenlenmesi ve ayrıca görüntüleme ve yazdırmayı kontrol etmek için bir ikili ondalık kodu ondalık sayıya dönüştürmek için bilgi sinyallerinin ve senkronizasyon darbelerinin anahtar dağıtıcıları olarak hizmet ederler. cihazlar. Çıkışların sayısı ve bunlara sinyallerin dağılımı, beklenen yükün doğasına göre belirlenir.

Gaz deşarj gösterge lambalarıyla çalışan kod çözücüler, çıkışta yüksek voltajlı transistörlere ve "onda bir" çıkışa sahiptir. Yedi segmentli ekranlarla (yarı iletken, filaman, vakum) çalışan mikro devrelerin yedi çıkışı ve her giriş sinyali kombinasyonu için bunlara uygun bir sinyal dağılımı vardır.

Demultiplexers-decoder'larda bağımsız ürünler olarak 4 adet; 8 veya 16 çıkış. Gerekli sayıda çıkış, bir mikro devrenin yeteneklerini aşarsa, sisteme çoğullama çözücüler (kod çözücüler) eklenir. Bu açıdan çoklayıcılardan temel bir fark yoktur.

Örneğin, iki adet dört girişli çoklayıcı içeren IC K561KP1'i düşünün. Mikro devre, her iki çoklayıcı için ortak olan iki adres girişi 1 ve 2'ye, ortak bir flaş girişi S'ye, birinci çoklayıcının X0 - X3 bilgi girişlerine, ikinci çoklayıcının U0 - US girişlerine sahiptir. KP1 görüntüsünün iki versiyonu Şekil 2.9'da gösterilmiştir.

.

Şekil 2.9- K561KP1 mikro devresinin fonksiyonel diyagramı ve geleneksel grafik gösterimi

Adres girişleri 1 ve 2'ye ikili bir adres kodu uygulandığında ve S girişine bir "0" sinyali uygulandığında, çoklayıcı çıkışları, sayıları adres kodunun ondalık eşdeğerine karşılık gelen girişlere bağlanır. S girişinde sinyal "1" ise, çoklayıcıların çıkışları girişlerden ayrılır ve yüksek empedans (üçüncü) durumuna geçer. Girişlerin bağlanması Çoklayıcı aracılığıyla iletilen sinyal hem analog hem de dijital olabilir, hem girişlerden çıkışa (mikro devre çoklayıcı modunda çalışır) hem de dağıtılacak çıkıştan girişlere (çoğullama çözücü modu) iletilebilir.

K155IDZ çoğullama çözücü-kod çözücü mikro devresi (Şekil 2.10) dört adres girişine 1, 2, 4, 8, AND ile birleştirilmiş iki ters geçit girişine S ve 0-15 16 çıkışa sahiptir. Her iki geçit girişi de günlüğe kaydedilirse. 0, sayısı giriş kodunun ondalık eşdeğerine karşılık gelen çıkışların üzerinde (giriş 1 en az anlamlı bittir, giriş 8 en yüksektir), bir günlük olacaktır. 0, diğer çıkışlarda - günlük. 1. Geçit girişlerinden en az biri S log ise. 1, daha sonra girişlerin durumlarından bağımsız olarak, mikro devrenin tüm çıkışlarında bir günlük oluşturulur. 1.

Şekil 2.10-K155IDZ çoğullama çözücü-kod çözücünün geleneksel grafik tanımı

İki geçit girişinin varlığı, mikro devrelerin kullanım olanaklarını önemli ölçüde genişletir. Bir inverter tarafından desteklenen iki IDZ mikro devresinden 32 çıkış için bir dekoder monte etmek mümkündür (Şekil 2.11).

Şekil 2.11- K155IDZ mikro devresine dayalı 32 çıkış için kod çözücü

2.6 Aritmetik cihazlar

2.6.1 Genel

Şimdiye kadar tartışılan kombinasyon cihazlarının mantıksal işlevleri vardır. Mantık cebirinin aparatı, davranışlarını tanımlamak için kullanılır. Yüksek ve düşük seviyeli giriş ve çıkış sinyalleri sırasıyla mantık 1 ve mantık 0 olarak değerlendirilir.

Ayrık teknoloji, amacı ikili sayılarla aritmetik işlemler gerçekleştirmek olan başka bir cihaz sınıfıyla çalışır: toplama, çıkarma, çarpma, bölme. Aritmetik aygıtlar ayrıca, verilen sayıların paritesinin saptanması (paritenin belirlenmesi) ve iki sayının karşılaştırılması gibi özel aritmetik işlemleri gerçekleştiren düğümleri de içerir.

Aritmetik cihazların özelliği, sinyallere mantıksal değil, 1 ve 0 aritmetik değerleri atanması ve bunlar üzerindeki eylemlerin ikili aritmetik yasalarına uymasıdır. Aritmetik aygıtlar sayısal değerler üzerinde çalışsalar da, işlerini açıklamak için doğruluk tablolarını kullanmak da uygundur. Aritmetik cihazlar, dijital bilgisayarlarda ve oldukça sık olarak bilgi ölçüm cihazlarında yaygın olarak kullanılmaktadır.

Aritmetik işlemlerin en önemlisi toplamadır (toplama). Doğrudan amacına ek olarak, diğer işlemler için de kullanılır: çıkarma, çıkarılanın ters veya tümleyen koduna girildiği toplamadır ve çarpma ve bölme, sıralı toplama ve çıkarmadır.

Toplayıcı, sayıların aritmetik toplamını gerçekleştiren işlevsel bir birimdir.

Ayrık teknoloji cihazlarında, toplama ikili veya daha az sıklıkla ikili-ondalık kodda gerçekleştirilir. Eylemlerinin doğası gereği, toplayıcılar iki kategoriye ayrılır: - birleşimsel - bellek öğelerine sahip olmayan, önceden düşünülmüş tüm düğümler gibi; - kümülatif - hesaplamaların sonuçlarının saklanması.

Buna karşılık, çok basamaklı terimlerle çalışan toplayıcıların her biri, sayıları işleme yöntemine bağlı olarak seri veya paralel tip olarak sınıflandırılabilir.

Hem seri hem de paralel toplayıcılar, tek bitlik toplayıcı devreleri temelinde oluşturulur. Sıralı toplayıcılarda sayıların eklenmesi, zaman içinde sıralı olarak bit düzeyinde gerçekleştirilir. Paralel eylem toplayıcılarında, çok basamaklı sayıların tüm basamaklarının eklenmesi aynı anda gerçekleşir.

Bundan sonra sadece birleşik toplayıcılardan bahsedeceğiz.

2.6.2 Yarım toplayıcı

En basit toplama elemanı yarım toplayıcıdır. Bu terimin kökeni sunum sırasında açıklığa kavuşacaktır. En basit toplama araçlarından biri, UGO ve doğruluk tablosu Şekil 2.12'de gösterilen yarım toplayıcıdır.

girişler çıktılar
A V r S

Şekil 2.12-UGO ve yarım toplayıcının doğruluk tablosu

Yarım toplayıcı, HS (yarım toplam) harfleriyle gösterilir. Yarım toplayıcının iki terim için iki A ve B girişi ve iki çıkışı vardır: S (toplam) ve P (taşıma).

Yarım toplayıcının mantıksal yapısı, yarım toplayıcının çalışmasının aşağıdaki denklemlerle açıklandığı doğruluk tablosuna dayanmaktadır:

S çıktısının ifadesi ve doğruluk tablosunun S sütunu, "özel VEYA" mantıksal kapısı için doğruluk tablosuyla tamamen örtüşür. Bu durum "exclusive OR" işleminin neden modulo 2 toplama olarak adlandırıldığını açıklar.Yarım toplayıcının genel ve genişletilmiş mantıksal yapısı Şekil 2.13'te gösterilmiştir.

Şekil 2.13- Yarım toplayıcının genel ve genişletilmiş mantıksal yapısı

2.6.3 Tam toplayıcı

İki n-bit ekleme prosedürü ikili sayılar hayal edilebilir Aşağıdaki şekilde(Şekil 2.14).

Şekil 2.14-İki n bitlik sayının eklenmesi

LSB rakamları A1 ve B1'in eklenmesi, S1 toplam bitini ve P1 taşıma bitini verir. Bir sonraki (ikinci) basamakta, S2'nin toplamını ve P2'nin transferini oluşturan P1, A2 ve B2 rakamları eklenir. İşlem, tüm basamaklardaki her bir basamak çifti eklenene kadar sürer, toplamanın sonucu, bit düzeyinde toplama sonucunda elde edilen Pi ve Si 1 veya 0'ı temsil ettiği S = Pn Sn ... S1 sayısı olacaktır. Yarım toplayıcının iki girişi vardır ve bu nedenle yalnızca en az anlamlı bitte kullanım için uygundur.

İki çok basamaklı sayıyı toplamak için bir cihaz, ikinci bitten başlayarak üç girişe sahip olmalıdır: ikisi Аi ve Вi terimleri için ve biri önceki bitten Pi-1 aktarım sinyali için. Bu düğüme tam toplayıcı denir, UGO ve doğruluk tablosu Şekil 2.15'te sunulmuştur.

girişler çıktılar
pi-1 A V Pi S
0 0 0 0 0
0 0 1 0 1
0 1 0 0 1
0 1 1 1 0
1 0 0 0 1
1 0 1 1 0
1 1 0 1 0
1 1 1 1 1

Şekil 2.15-UGO ve tam toplayıcının doğruluk tablosu

Doğruluk tablosunu kullanarak aşağıdaki çıktı fonksiyon ifadelerini elde edebilirsiniz. Bu ifadeler, Şekil 2.16'da gösterilen tam toplayıcının mantıksal yapısını oluşturmanıza izin verir.

Şekil 2.16 - Tam toplayıcının mantıksal yapısı

2.6.4 Çok basamaklı toplayıcı

Çok basamaklı bir toplayıcı oluşturmak için, yukarıda tartışılan yarım toplayıcı ve tam tek basamaklı toplayıcı kullanılır. Şekil 2.17'de gösterilen bağlantılar, Şekil 2.14'te gösterilen algoritmaya göre yapılmıştır.

Şekil 2.17-Çok haneli (üç haneli) toplayıcı

3 TETİKLEME CİHAZI

3.1 Temel kavramlar

Kombinasyon cihazlarının yanı sıra hafıza elemanları da vardır. Bunların en basiti tetikleyicilerdir.

Tetikleyici, iki kararlı durumdan birinde olabilen mantıksal bir öğedir: 0 veya 1.

Sonraki her duruma geçiş, genellikle yalnızca giriş sinyallerinin mevcut değerlerine değil, aynı zamanda tetikleyicinin önceki durumuna da bağlıdır. Tetik çıkışlarından önceki durumla ilgili bilgiler, harici sinyaller işini yönetir. Bu nedenle, tetikleyiciler geri döngü cihazlarıdır.

Verilen kontrol sinyallerinin etkisi altında tetiğin mevcut durumdan geçtiği durumun bağımlılığını belirleyen mantıksal fonksiyona tetik geçişlerinin fonksiyonu denir. Geçiş fonksiyonları ayarlandı mantıksal formüller veya tablolar şeklinde.

Çalışma mantığına bağlı olarak tetikleyiciler aşağıdaki ana tiplere RS, D, T ve JK ayrılır.

Bilgi kaydetme yöntemine bağlı olarak, tetikleyiciler asenkron ve senkronize olarak ayrılır. Asenkron tetikleyiciler, kontrol sinyalleri sağlandıktan hemen sonra yeni bir duruma geçerler, senkron tetikleyiciler de bunun için senkronizasyon girişine C gönderilmesi için bir senkronizasyon sinyali gerektirir.

3.2 Asenkron RS flip-flop

Eşzamansız bir RS flip-flop, tüm tetikleyici türlerinde ana bellek öğesi olarak hizmet eder. NAND ve NOR elemanları üzerine inşa edilebilir. Her iki yöntem ve geleneksel grafik gösterimleri Şekil 3.1'de sunulmuştur.

Şekil 3.1- AND-NOT ve OR-NOT öğeleri ve bunların geleneksel grafik sembolleri üzerinde bir asenkron RS tetikleyicisinin uygulanması

RS flip-flop'un iki girişi vardır: S ayarı (İngilizce Set: ayarından) ve R reset girişi (İngilizce Reset'ten: reset).

Q ve çıkışları, flip-flop'un durumunu belirler.

Q = 0 ise tetik sıfır durumundadır, Q = 1 ise tekli durumdadır.

Şekil 3.2, sırasıyla AND-NOT ve OR-NOT öğelerinde RS-flip-flop'un çalışma sırasını yansıtan geçiş tablolarını içerir.

Qn Sn + 1 Çalışma saatleri
0 0 0 NS Yasaklı
0 0 1 NS Yasaklı
0 1 0 1 Kurulum
0 1 1 1 Kurulum
1 0 0 0 Sıfırla
1 0 1 0 Sıfırla
1 1 0 0 Depolamak
1 1 1 1 Depolamak
S r Q Sn + 1 Çalışma saatleri
0 0 0 0 Depolamak
0 0 1 1 Depolamak
0 1 0 0 Sıfırla
0 1 1 0 Sıfırla
1 0 0 1 Kurulum
1 0 1 1 Kurulum
1 1 0 NS Yasaklı
1 1 1 NS Yasaklı

Şekil 3.2-VE-NOT (solda) ve OR-NOT öğelerinde RS-tetikleyici geçişlerinin tabloları

Tablolarda aşağıdaki gösterimler benimsenmiştir: Qn - ilk durum, Qn + 1 - yeni tetikleme durumu, x - tanımsız durum.

VEYA-DEĞİL öğeleri üzerindeki bir tetik, girişlerinden birine gelen tek sinyaller tarafından kontrol edilir. R girişine tek bir sinyal uygulandığında, flip-flop sıfır durumuna ayarlanır (Qn + 1 = 0 - "sıfırlama" modu) ve aynı sinyal S girişine ulaştığında, tek durum (Qn + 1 = 1).

Her iki girişe aynı anda tek sinyallerin verilmesi yasaktır, çünkü tetikleyicinin içine girdiği Qn + 1 durumu tanımlanmamıştır - çıkışlarda Q ve sinyallerin sıfır mantıksal değerleri ayarlanır. R S = 1 yasaklanmış bir kombinasyondur.

Sıfır mantık seviyesindeki sinyaller tetikleyicinin her iki girişine ulaştığında, durumu değişmeden kalır (Qn + 1 = Qn).

VE-DEĞİL öğeleri üzerindeki bir tetikleyici, sembolüne ters girişler şeklinde yansıtılan sıfır sinyallerle kontrol edilir. Yasak durum, her iki girişine de sıfır mantık sinyallerinin uygulandığı durumdur.

3.3 Senkron tetikleyiciler

3.3.1 RS tetikleyici

Dijital cihazlarda en önemli rol, senkronizasyon (saat) ve bilgi (programlama) girişlerine sahip tetikleyiciler tarafından oynanır. Bir senkron RS-flip-flop'un koşullu grafik gösterimi ve fonksiyonel diyagramı Şekil 3.3'te gösterilmektedir.

Şekil 3.3- Senkron bir RS-flip-flop'un UGO ve fonksiyonel diyagramı

Tetikleyicinin durumunda bir değişiklik, yalnızca C senkronizasyon girişinde tek bir sinyal varsa mümkündür. C sinyalinin sıfır değerinde, R ve S kontrol girişlerindeki bilgiler algılanmaz ve tetikleyici kendi durumunu korur. önceki devlet R ve S kontrol girişlerindeki herhangi bir sinyal değeri için. Yasaklanan kombinasyon R S С = 1'dir.

Senkronize RS tetikleyicilerine ek olarak, üç tür tetikleyici daha kullanılır: D-, T- ve JK türleri.

3.3.2 D-flip-flop

D-flip-flop'un geleneksel grafik gösterimi ve işlevsel diyagramı Şekil 3.4'te gösterilmektedir.

Şekil 3.4-D-flip-flop'un geleneksel grafik gösterimi ve fonksiyonel diyagramı

D-flip-flop'un mantığı: bir sonraki senkronizasyon darbesinin bitiminden sonra, tetik, D bilgi girişindeki sinyal durumunu alır. Bu nedenle, D-flip-flop'a gecikme tetikleyicisi denir (İngilizce Gecikme - gecikme).

3.3.3 T parmak arası terlik

T-flip-flop'un yalnızca bir senkronizasyon girişi vardır ve hiçbir bilgi girişi yoktur. T-tetikleyicinin geleneksel grafik gösterimi Şekil 3.5'te gösterilmektedir.

Şekil 3.5 - T tetikleyicisinin koşullu grafik gösterimi

T-flip-flop'un mantığı: Her saat darbesi uygulandığında, durumunu tersine değiştirir.

Ayrı olarak mevcut olmamasına rağmen, frekans bölücülerin ana unsurudur. Ancak, Şekil 3.6'da gösterildiği gibi, D flip-flop'a dayalı olarak bu tetikleyiciyi uygulamak kolaydır.

Şekil 3.6- D-flip-flop'a dayalı T-flip-flop'un uygulanması

3.3.4 JK parmak arası terlik

JK tetikleyicisinin geleneksel grafik gösterimi Şekil 3.7'de gösterilmektedir.

Şekil 3.7 - JK tetikleyicisinin geleneksel grafik gösterimi

JK flip-flop'un çalışması, Şekil 3.2'de gösterilen doğrudan girişli RS flip-flop tablosu ile gösterilmektedir. Ayrıca, S girişi J girişine ve R girişi K girişine karşılık gelir.

Tablodan, J = K = 0 ise bir saat darbesine maruz kaldığında JK-flip-flop'un durumunu değiştirmediği sonucu çıkar. RS-flip-flop'un aksine, J = K = 1 sinyalleri yasaklanmamıştır. ve tetik durumunun tersine değişmesine neden olur, yani... parmak arası terlik bir T parmak arası terlik gibi çalışır.

J = 1 ve K = 0 ise, saat darbesi tetiği tek duruma (Qn + 1 = 1) ve J = 0 ve K = 1 - sıfır durumuna (Qn + 1 = 0) ayarlar. Saat sinyali C = 0 ise tetik durumunu değiştirmez.

Şekil 3.8'de gösterildiği gibi, J ve K kontrol girişlerini birleştirerek bir T-flip-flop'u bir JK flip-flop'tan uygulamak kolaydır. JK parmak arası terlik çok yönlüdür çünkü RS ve T parmak arası terlikler ondan kolayca türetilir.

Şekil 3.8-T-flip-flop modunda JK-flip-flop'u açma şeması

3.3.5 İki Aşamalı Senkron Tetikleyiciler

3.3.5.1 İtme-çekme R-S tetikleyici M-S-tipi

Daha önce düşünülen tetikleyicilerin bir özelliği, saat darbesinin eylemi sırasında, senkron tetikleyicinin bilgi girişlerinde sinyalde kısa süreli bir değişiklik olsa bile, tetik durumunda bir değişikliğe yol açarsa, bu çıktısını hemen etkileyecektir. MS tetikleyicileri olarak adlandırılan iki aşamalı eşzamanlı tetikleyiciler (İngilizce Master - Slave: Master - Slave'den) biraz farklı çalışır. Bu tetikleyiciler, örneğin Şekil 3.9'da gösterildiği gibi bağlanan iki bellek öğesinden oluşur. Bu tetikleyicinin iki senkronizasyon girişi C1 ve C2 vardır. Kayıt, önce C1 girişine, sonra da C2'ye sırayla iki senkronizasyon sinyali sağlayarak gerçekleştirilir. Bu nedenle, böyle bir tetikleyiciye itme-çekme denir.

Şekil 3.9 -İki zamanlı R-S M-S tipini tetikle

Bununla birlikte, itme-çekme tetik kontrolü, daha karmaşık bir kontrol devresi gerektirir. Bu nedenle, ikinci flip-flop'un geçişini geciktirmek için çeşitli devre teknikleri kullanılarak oluşturulmuş iki aşamalı tek uçlu parmak arası terlikler kullanılır.

3.3.5.2 Tek seferlik iki aşamalı tetikleyiciler

Tetiğin iki aşamalı yapısı, Şekil 3.10'da gösterildiği gibi, iki harf T şeklinde geleneksel bir grafik gösterimde görüntülenir.

Şekil 3.10 - İki aşamalı tetikleyicilerin geleneksel grafik gösterimi

İki aşamalı tetikleyicilerin de dürtü odaklı olduğu söylenir. Gerçekten, için tam döngüİki aşamalı bir tetikleyicinin çalışması, senkronizasyon sinyalinin iki damlasını gerektirir.

Şekil 3.11, engelleyici bağlantılara sahip bir RS-flip-flop'u ve bir invertörlü Şekil 3.12'yi göstermektedir.

Şekil 3.11 - Engelleyici bağlantılara sahip tek uçlu RS-flip-flop M-S tipi

Şekil 3.12 - İnvertörlü tek uçlu R-S tetikleyici M-S tipi

mantık kaydı flip-flop

Saat darbesinin ön kenarı, tetikleyicinin bilgi girişlerindeki sinyal seviyesi tarafından belirlenen bilgileri kontrol bir (M) olarak adlandırılan birinci bellek elemanına kaydeder. Saat darbesinin düşüşü, kontrol elemanından kontrol edilen elemana (S) bilgilerin yeniden yazılmasına neden olur. Saat darbesinin bitiminden sonra, kontrol flip-flopunun R ve S girişlerindeki bilgi değişiklikleri algılanmaz. Kayıt işlemi Şekil 3.13'te gösterilmektedir.

Şekil 3.13 - Kayıt işleminin zamanlama şeması tek çevrim R-S M-S tipini tetikle

Şekil 3.11 ve 3.12'deki noktalı çizgiler, RS flip-flop'u bir T-flip-flop'a dönüştüren geri bildirimleri göstermektedir, zamanlama diyagramları Şekil 3.14'te gösterilmiştir.

Şekil 3.14 - T tetikleyicinin zamanlama şemaları

İki aşamalı senkron tetikleyiciler, ayrı IC'ler olarak mevcuttur. Şekil 3.15, 155ТМ2 ve 155ТВ1 IC tiplerinin geleneksel grafik gösterimlerini göstermektedir.

155TM2 155TV1

Şekil 3.15 - 155ТМ2 ve 155ТВ1 IC tiplerinin geleneksel grafik gösterimleri

IC 155TM2, senkronizasyon darbesinin ön kenarı tarafından kontrol edilen iki senkronize D-flip-flop içerir. Tetikleyiciler, saat sinyallerinden bağımsız olarak çalışan dahili kontrol R ve S girişlerine sahiptir.

Şekil 3.15'te gösterilen senkronize JK-flip-flop 155TV1, ayrıca S ve R girişleri üzerinde bağımsız kontrole sahiptir. Tetik, darbe azalmasıyla saatlenir ve J ve K üç bilgi girişine sahiptir.

Tipik olarak, endüstri tarafından üretilen bir dizi IC'de, D parmak arası terlikler bir darbenin kenarı tarafından değiştirilir ve JK parmak arası terlikler bir darbe ile değiştirilir.

İki aşamalı senkron parmak arası terliklerin, saat darbeleri sırasında bilgi sinyallerindeki değişikliklere yanıt verdiğine dikkat edin. Saat darbesinin gelmesinden önce, bilgi girişleri, tetikleyicinin durumunu değiştirmemesi gereken bir duruma sahipse ve saat darbesinin eylemi sırasında, bilgi girişleri kısa bir süre için bile olsa, aşağıdakilere yol açan sinyalleri algılayacaktır. tetik durumunda bir değişiklik olursa, bu değişiklik mutlaka gerçekleşecektir. Bu nedenle, dikkate alınan tetikleyiciler, yalnızca senkronizasyon darbesinin eylemi sırasında bilgi sinyallerini değiştirme olasılığının dışlandığı durumlarda kullanılmalıdır.

Darbenin yükselen kenarı veya düşen kenarı tarafından değiştirilen iki aşamalı senkron tetikleyiciler biraz farklı çalışır. Bu tür tetikleyiciler, yalnızca senkronizasyon darbesinin etkin kenarı veya düşüşü sırasında bilgi girişlerinde mevcut olan sinyallere yanıt verir. Diğer anlarda, tetikleyicinin bilgi girişleri bloke edilir ve bunlara yönelik sinyaller algılanmaz. Bu nedenle, bir darbenin yükselen veya düşen kenarı tarafından değiştirilen tetikleyiciler, bir darbe tarafından değiştirilen tetikleyicilere kıyasla daha yüksek bir gürültü bağışıklığına sahiptir.

4 KAYIT

4.1 Kayıtlar hakkında genel bilgiler

Kayıtlar, ikili kodlar şeklinde sunulan bilgileri kaydetmek, depolamak, yayınlamak ve dönüştürmek için kullanılan cihazlardır.

Uygulamalar: bellek cihazları, gecikme elemanları, seri kodların paralel ve tam tersi dönüştürücüler, zil sinyali dağıtıcıları, vb. İşlevsel özelliklere ve devre uygulamasına bağlı olarak, bunlar alt bölümlere ayrılır:

Bellek kayıtları;

Kaydırma kayıtları;

Evrensel kayıtlar.

4.2 Bellek kayıtları

Bellek kayıtlarının amacı, ikili kodu belirli bir süre boyunca saklamaktır. Her biri bir bit kod depolayan bir dizi tetikleyiciden oluşurlar. Bu nedenle, kayıt defterinde n bitlik bir ikili kodu depolamak için n parmak arası terlik olmalıdır. Böyle bir tetikleyicinin yapısı ve çalışması Şekil 4.1'deki şema ile açıklanmıştır.

Şekil 4.1- Hafıza kaydının yapısı

İkili kod, X0, X1, X2 girişlerine paralel olarak beslenir, ardından ilgili tetikleyiciye yazılan C girişine bir zamanlama darbesi beslenir.

4.3 Vardiya kayıtları

Bir kaydırma yazmacı, her bir flip-flop'tan gelen bilgilerin, yazmaçta yazılan kodu kaydırarak bir sonraki flip-flop'a aktarılabileceği şekilde bağlanan bir flip-flop grubudur. Kayıtlar, vardiya yönüne bağlı olarak ayırt edilir:

Sağa kayma ile (en az anlamlı rakamlara doğru),

Sola kaydırma ile (daha yüksek rakamlara doğru),

Tersinir (hem sağa hem de sola kaydırma).

Sağa kaydırma yazmacının geleneksel grafik gösterimi Şekil 4.2'de gösterilmektedir. Burada ok, kaymanın yönünü gösterir.

Şekil 4.2-Shift register'ın geleneksel grafik gösterimi

Şekil 4.3, seri bağlı D parmak arası terliklerden oluşan bir kaydırma kaydını gösterir ve Şekil 4.4, RS parmak arası terliklere dayalı bir kaydırma yazmacının işlevsel bir diyagramını gösterir. Önemli bir özellik shift registers, onların özel olarak iki aşamalı bir MS yapısının parmak arası terliklerinde yürütülmesidir.

Şekil 4.3 - D-flip-flop'lara dayalı bir kaydırma yazmacının işlevsel şeması

Şekil 4.4- RS parmak arası terliklere dayalı bir kaydırma yazmacının işlevsel diyagramı

Senkronizasyon darbesi C'nin ön kenarında, girişten gelen bilgiler birinci tetikleyicinin M-kısmına ve birincinin çıkışından ikincinin M-kısmına, ikinciden üçüncüye yazılır ve yakın zamanda. Senkronizasyon darbesi C'nin düşüşünde, bilgi M bölümünden S bölümüne de yeniden yazılır. Böylece bilgi, her bir senkronizasyon darbesinden sonra bir bit kaydırılır.

Böyle bir kayıt, kodları bir yönde kaydırır. Herhangi bir saat çevrimi sırasında girişte alınan bilgi, n saat çevriminden sonra kaydırma yazmacının Qn çıkışında görünecektir.

Dikkate alınan kayıtta, bilgi girişte sıralı bir kodla (bit bit) kaydedilir.

4.4 Tersinir kayıtlar

Verileri her iki yöne kaydırabilen kayıtlar vardır. Bu tür kayıtlara tersinir denir. Tersinir yazmaçlar oluşturma ilkesi, Şekil 4.5'te gösterilen şemada gösterilmektedir.

Şekil 4.5- D-flip-flop'lara dayalı ters kaydın fonksiyonel diyagramı

Kaydırmanın yönü, V girişine uygulanan sinyal tarafından belirlenir. V = 1 ise, kontrol girişleri "1" sinyalini alan 2I-OR elemanlarının alt kapıları ve kapıları açıktır ve kaydırma sağda meydana gelir. V = 0 ise, 2I-OR elemanlarının üst kapıları ve kapıları açıktır, çünkü kontrol sinyali, invertör aracılığıyla onlara beslenir; sola kayma var.

4.5 Genel Amaçlı Kayıtlar

Daha karmaşık kayıtlar genellikle gereklidir: bilgilerin paralel senkronize kaydı ile, tersinir, paralel-sıralı senkronize kayıt ile. Bu tür kayıtlara evrensel kayıtlar denir.

Evrensel bir kayıt örneği, geleneksel grafik tanımı Şekil 4.6'da gösterilen K155IR1 tipi bir IC'dir.

Şekil 4.6-K155IR1 evrensel kayıt tipinin koşullu grafik gösterimi

Bilgilerin sıralı ve paralel olarak kaydedilme olasılığı olan dört bitlik bir kaydırma yazmacıdır. Fonksiyonel diyagramı Şekil 4.7'de gösterilmiştir.

Kayıt, dört RS-flip-flop'ta yapılır ve iki saatleme girişi СІ, С2 ve kaydın çalışma modunu kontrol eden bir V2 girişi vardır. Bilgi girişi V1 bir seri kodda veri girmek için kullanılır ve D1-D4 girişleri - paralel bir kodda veri girmek için kullanılır.

Kayıt dört şekilde çalışabilir farklı modlar gerçekleştirilir: kodların sağa kaydırılması, kodların sola kaydırılması, verilerin paralel girişi, bilgilerin depolanması. Bunlardan birinin veya diğerinin seçimi, V2 kontrol girişine uygun mantık sinyali seviyesi uygulanarak gerçekleştirilir. V2 = O olduğunda, kodlar daha yüksek rakamlara kaydırılır. V2 = 1 ise, D1-D4 girişlerinde paralel bir bilgi girişi vardır.

Şekil 4.7-K155IR1 üniversal kayıt tipinin fonksiyon şeması

Kayıt, daha yüksek bitlere (V2 = 0) doğru bir kayma ile seri kodu paralele dönüştürme modunda çalışırken, D1-D4 paralel yazma girişleri devre dışı bırakılır, veri girişinde V1 girişinde kayıt girilebilir. C1 girişindeki seri kod ve zamanlama sinyallerinin geçişi ve ayrıca her düşük sıralı bitin çıkışı ile bir sonraki yüksek sıralı bitin girişi arasında bağlantılar kurulur. C1 girişindeki zamanlama darbesinin her düşüşünde bir bit sağa kaydırma gerçekleştirilir. Giriş darbesinin dört saat çevriminden sonra Q1, Q2, Q3, Q4 çıkışlarında dört bitlik paralel kod biçimindeki bilgiler görünecektir.

Paralel veri girişi, V2 = 1 kontrol sinyalinin varlığında D1-D4 girişleri aracılığıyla, darbenin C2 girişinde azalmasıyla gerçekleşir. Bu durumda, sıralı giriş V1 ve zamanlama sinyallerinin C1 girişi devre dışı bırakılır.

Kodların en az anlamlı bitlere kaydırılması organize edilirken, Şekil 4.8'de gösterilen harici bağlantıların yapılması gerekir.

Şekil 4.8-En az anlamlı bitlere doğru kayma için harici bağlantıların şeması

Kayda sıralı yazma, V2 = 1 kontrol sinyali ile D4 girişinde gerçekleştirilir. Kodlar, C2 zamanlama darbesinin her düşüşünde sola kaydırılır. Kodları sola kaydırırken paralel kayıt mümkün değildir, çünkü paralel kanallar en az anlamlı bitlerden en önemli bitlere veri aktarmak için kullanılır. Şekil 4.8'de gösterilen bağlantılarda sadece paralel veri girişinin mümkün olmadığına dikkat ediniz. Kodların daha yüksek basamaklara kaydırılması mümkündür ve daha önce olduğu gibi, V2 = 0'da C1 girişine zamanlama sinyalleri uygulanarak gerçekleştirilir. Bu nedenle, Şekil 4.8'de gösterilen kaydırma yazmacı tersine çevrilebilir.

5 SAYAÇ

5.1 Sayaçlar hakkında genel bilgiler

Sayaçlar, darbe sayısını sayan cihazlardır.

Sayaçlar sadece saymak için değil, aynı zamanda darbeleri saymaya indirgenebilecek diğer işlemleri gerçekleştirmek için de kullanılır, yani: darbe sayısını belirli bir koda dönüştürmek, frekansı bölmek, sinyal sayısını toplamak veya çıkarmak, sinyalleri dağıtmak vb. .

Sayacın ana parametresi, Ksc sayısının katsayısıdır (modülü).

Sayma faktörü, sayacın farklı durumlarının sayısına eşittir. Sayacın orijinal durumuna dönmesi için bu kadar dürtü gerekir. Sayaç bir frekans bölücü olarak kullanıldığında, çıkış darbelerinin tekrarlama oranı, giriş darbelerinin frekansından bir Ksc faktörü kadar düşüktür. Sayacın gösterebileceği maksimum sayı Ksc'den bir eksiktir. Sayaçların ana unsuru T-flip-flop'tur. Pratikte, T parmak arası terlikler D veya JK parmak arası terliklerden türetilir.

Sayma yönüne bağlı olarak, sayaçları toplama, çıkarma ve ters çevirme arasında bir ayrım yapılır.

Toplama sayıcıda, her sayma sinyali sayaca yazılan sayıyı bir arttırır (yukarı doğru sayma), eksiltici sayıcıda her sayma sinyali sayıcının içeriğini bir azaltır (aşağı doğru sayma). Ters sayaç - hem ileri hem de geri sayım yapabilir.

Tablo 5.1 ve 5.2, sırasıyla toplama ve çıkarma sayaçlarındaki kodların değiştirilme sırasını göstermektedir.

Tablo 5.1- Toplama sayacının durum kodları

sinyal numarası Deşarjlar Sayaç numarası
Q2 Q1 Q0
0 0 0 0 0
1 0 0 1 1
2 0 1 0 2
3 0 1 1 3
4 1 0 0 4
5 1 0 1 5
6 1 1 0 6
7 1 1 1 7
8 0 0 0 0

Tablo 5.2- Çıkarmalı sayaç durum kodları

sinyal numarası Deşarjlar Sayaç numarası
Q2 Q1 Q0
0 0 0 0 0
1 1 1 1 7
2 1 1 0 6
3 1 0 1 5
4 1 0 0 4
5 0 1 1 3
6 0 1 0 2
7 0 0 1 1
8 0 0 0 0

Çıkarma sayacının ilk durumu olarak ondalık sayı 7'yi (ikili kod 111) seçerseniz, giriş darbelerinin sırası sayacın içeriğini 000'e kadar azaltır, ardından bir taşma meydana gelir, yani orijinale dönüş durum 111.

Sayacın ilk durumu olarak 000 sayısını kabul edersek, o zaman sayıcı flip-floplarının çıkışlarının durumları, tamamlayıcı kodda temsil edilen, sayılan darbelerin negatif sayısını yansıtır.

Transfer zincirlerini oluşturma yöntemine bağlı olarak, sıralı ve paralel transferli sayaçlar ayırt edilir.

5.2 Sıralı taşımalı sayaçlar

5.2.1 Sıralı toplayıcı

Tablo 5.1'den aşağıdaki gibi, en az anlamlı bit Q0, her sayma darbesiyle durumunu değiştirir, sonraki her bitin durumu, önceki bit bir tekten sıfır durumuna geçerse değişir. Şekil 5.1'de gösterildiği gibi bağlı T tetikleyicileri kullanırsak, tetikleyicilerin durumlarını değiştirmek için böyle bir dizi elde ederiz.

Şekil 5.1- Sıralı toplama sayacı

Şekil 5.2, toplayıcı çalışmasının zamanlama şemalarını göstermektedir.

Şekil 5.2- Toplama sayacının zamanlama diyagramları

Bu tür parmak arası terliklerin n kademeli olarak eklenmesi, Ksc = 2n sayma katsayısına sahip bir sayaç oluşturur. Bu durumda, her tetikleyicinin Ksc = 2 olduğu ve onlarla birlikte olduğu unutulmamalıdır. seri bağlantı sayma faktörleri çarpılır. Şekil 2, her tetiklemeden sonraki darbe tekrarlama süresinin iki katına çıktığını ve sonuncudan sonra giriş darbe süresini Ksc faktörü kadar aştığını göstermektedir. Buna göre, frekans aynı sayıda azalır, yani. Ksc'ye eşit bir sayıya bölünür. Bu özellik, sayaçların frekans bölücü olarak kullanılmasının temelini oluşturur.

5.2.2 Sıralı çıkarma sayacı

Parmak arası terliklerin sıralı bağlantısının başka bir çeşidi, girişleri Şekil 5.3'te gösterildiği gibi önceki parmak arası terliklerin ters çıkışlarına bağlandığında mümkündür. Durum değişikliği tablo 5.2'de gösterilen ikili çıkarma sayacı bu şekilde elde edilir.

Şekil 5.3 - Sıralı çıkarma sayacı

Şekil 5.4, çıkarma sayacının zamanlama diyagramlarını göstermektedir.

Şekil 5.4- Çıkarma sayacının zamanlama diyagramları

Şekil 5.1 ve 5.3, ikili sıralı sayaçların, yani belirli bir tetikleyicinin durumu değiştiğinde, bir sonraki tetikleyicinin uyarıldığı ve tetikleyicilerin durumlarını sırayla değiştirdiği bu tür sayaçların diyagramlarını göstermektedir.

Belirli bir durumda, n tetikleyicinin durumlarını değiştirmesi gerekiyorsa, bu işlemi tamamlamak için her bir tetikleyicinin durumunun değiştiği zamana karşılık gelen n zaman aralığı alacaktır. İşlemin bu sıralı doğası, sıralı bir sayacın iki dezavantajından sorumludur:

Paralel sayıcılara göre daha düşük sayma hızı,

Devrenin çıkışında yanlış sinyallerin ortaya çıkma olasılığı.

Her iki sayaç türünde de izin verilen sayım hızı, bir tetikleyicinin maksimum anahtarlama hızı ile belirlenir.

tanımlayarak azami hız sıralı bir sayacı sayarken, tüm t parmak arası terliklerin durumundaki en elverişsiz değişiklik durumu dikkate alınmalıdır. Geçici durumun toplam süresi, tetikleyicileri birbirine bağlayan bireysel öğelerin gecikme sürelerinin ve tüm tetikleyicilerin yanıt sürelerinin toplamı olarak tanımlanabilir. Bu şekilde bulundu maksimum süre sayacın bir durumdan diğerine geçişi sınırlayıcı olarak kabul edilmelidir. Genellikle, gerçek geçiş süresi sınırlayıcı olandan daha kısadır, çünkü bir dizi sıralı olarak bağlı tetikleyicide, bu tetik, heyecan verici öğesinde geçici sürecin bitiminden önce bile bir durumdan diğerine bir geçiş başlatır.

Sayaç parmak arası terliklerin geçişlerinin sıralı doğası, çıkışlarında bir yanlış sinyal kaynağıdır. Örneğin, "ağırlıkları" 8421 olan dört basamaklı bir ikili kodda sayan bir sayaçta, 710 = 01112 sayısından 810 = 10002 sayısına geçerken, çıkışta aşağıdaki sinyal dizisi görünecektir: 0111 - 0110 - 0100 - 0000 - 1000. Bu, durum 7'den durum 8'e geçişte, sayaç çıkışlarında kısa bir süre için durum 6'nın görüneceği anlamına gelir; 4; 0. Bu ek koşullar, diğer cihazların arızalanmasına neden olabilir.

5.3 Paralel Transfer Sayaçları

Paralel sayaçlarda, senkronizasyon sinyalleri aynı anda tüm flip-flop'lara beslenir, bu da geçici süreçlerin süresini azaltır. Bu durumda paralel bir sayaç elde ederiz. Toplama sayıcı devresinin bir örneği Şekil 5.5'te gösterilmektedir.

Şekil 5.5- TV parmak arası terliklerde paralel toplama sayacı

Burada, sayma darbeleri, tüm tetikleyicilerin senkronizasyon girişlerine T eşzamanlı olarak beslenir ve belirli bir giriş darbesinde durumlarını değiştiren belirli tetikleyicileri belirleyen sinyaller, etkinleştirme V girişlerine beslenir. V = 1 ise tetik her zamanki gibi çalışır, V = 0 ise depolama modundadır. Sayacın çalışma prensibi Tablo 1'den izlenir: Önceki tüm tetikleyiciler bir mantıksal birim durumundaysa, bir sonraki senkronizasyon darbesi geldiğinde tetik durumunu değiştirir.

T tetikleyici olarak, örneğin IC K155TV1 gibi evrensel bir JK tetikleyici kullanabilirsiniz. JK parmak arası terliklere dayalı bir paralel toplama sayacı Şekil 5.6'da gösterilmektedir.

Şekil 5.6- JK parmak arası terliklerde paralel toplama sayacı

Burada, her tetik yalnızca iki modda olabilir: sayma (T-flip-flop modu) ve depolama. İlk durumda J = K = 1, ikinci durumda - J = K = 0. İşin mantığı, Şekil 5.5'te gösterilen devrenin açıklaması ile tamamen tutarlıdır.

5.4 Tersinir sayaçlar

Bazen hem ileri hem de geri yönde saymaya izin veren sayaçlar gerekir, yani. tersine çevrilebilir. Yapılarının prensibi, çalışma modunun değiştirilmesini organize etmeye izin veren valf elemanlarının kullanımına dayanmaktadır. TV parmak arası terliklerde ters paralel sayacın varyantlarından biri Şekil 5.7'de gösterilmiştir.

Şekil 5.7- TV tetikleyicilerinde paralel ters sayaç

Sayma yönünün değiştirilmesi, kontrol girişlerinden birine "1" mantıksal biriminin bir sinyalinin uygulanmasıyla sağlanır. “+1” girişine “1” uygulanırsa toplama modu, giriş “-1” ise çıkarma modu. İlk durumda, üst AND kapıları açık olacaktır, bu nedenle transfer sinyalleri parmak arası terliklerin doğrudan çıkışlarından alınacaktır, ikinci durumda, alt kapılar açık ve transfer sinyalleri ters çıkışlardan geçecektir. parmak arası terliklerden.

5.5 Metre, 2n'ye eşit olmayan keyfi bir sayma faktörü ile

Bazı cihazlar, 2n olmayan veya değişken sayma faktörlerine sahip sayaçlar gerektirir. Biri olası yollar onun değişimi değişimdir mantıksal yapı sayma faktörünün kontrol sinyallerine bağlı olarak devreler. Değişikliğin anlamı, karşı durumların sayısını değiştirmektir, çünkü Ksc tam olarak bu sayıdır.

Mod 5'i sayan bir paralel sayaç tasarlamak istediğinizi varsayalım. 5'lik bir sayma faktörü sağlamak için minimum tetikleyici sayısı üçtür. Aslında, üç parmak arası terlik içeren bir sayaç, sekiz durumdan birinde olabilir (sıfır durum 000 dahil). Ancak Ksc = 5 elde etmek için durum sayısını 8-5 = 3 azaltmak gerekir. Sayacın üç durumu devre dışı bırakılmalıdır.

Durum sayısını azaltmak için aşağıdaki temel yöntemler mümkündür:

İlk kod kurulumu,

Sayma işleminde zorlananlar,

Zorla sıfırlama.

Kodun ilk ayarı, fazlalık durumların sayısına eşit bir sayıyı saymaya başlamadan önce sayaca ön giriş anlamına gelir (Ksch = 5 için 3 tane vardır). Böylece başlangıç ​​durumuna geçmeden önce sayacın sayacağı darbe sayısı girilen sayı kadar azalacaktır.

Zorunlu sayma, sayaç devresine ek elemanların eklenmesini gerektirir ve belirli bir anda sayıcıya fazlalık durumların sayısına eşit bir sayının girilmesini sağlar. Bu prensibe göre bir sayaç oluşturmaya bir örnek, Şekil 5.8'de gösterilen Ksc = 10 olan bir sayaçtır.

Şekil 5.8- Ksc = 10 ile zorunlu sayma ile sayaç

İlk sekiz darbe sırasında, sayaç durumları tablo 5.3'te gösterildiği gibi olağan sırada değişir.

Tablo 5.3- Ksc = 10 ile zorunlu sayma ile sayacın durum kodları

sinyal numarası Deşarjlar (ağırlık) Sayaç numarası
Q3 (8) S2 (4) S1 (2) Q0 (1)
0 0 0 0 0 0
1 0 0 0 1 1
2 0 0 1 0 2
3 0 0 1 1 3
4 0 1 0 0 4
5 0 1 0 1 5
6 0 1 1 0 6
7 0 1 1 1 7
8 1 0 0 0 8
9a 1 1 1 0 14
9b 1 1 1 1 15
10 0 0 0 0 0

Dokuzuncu darbenin (satır 9a) gelmesiyle birlikte, AND mantıksal öğesinin girişlerinde ve Q2 ve Q1 tetikleyicilerini S girişlerinde ayarlayan "0" çıkışında, ağırlıkları 4 ve 2 olan üç tane belirir, sırasıyla. Bu, sayaca 6 sayısını girmekle eşdeğerdir - bu tam olarak Ksc = 10'da kaç fazlalık durumudur. Dokuzuncu darbenin (satır 9b) bitiminden sonra, Q0 tek bir duruma geçer ve sonuç olarak, sayaç 9 sayısı yerine 15 sayısı olur. Onuncu darbe ile, sayaç ilk duruma geçer. sıfır hali.

Zorlamalı sıfırlama ilkesi, 16 içinde bir Ksc değişkeni olan dört bitlik sıralı bir ikili sayaç olan K155IE5 IC'de uygulanmaktadır. K155IE5 sayacının geleneksel grafik gösterimi Şekil 5.9'da gösterilmektedir.

Şekil 5.9- Zorla sıfırlamalı sayaç K155IE5

K155IE5 metrenin yapısı Şekil 5.10'da gösterilmektedir.

Şekil 5.10- Zorla sıfırlama K155IE5 ile sayacın yapısı

K155IE5 sayacı, JK flip-flop'larına dayalı dört sayma tetikleyicisinden oluşur ve Ksc = 2 (giriş C1 ve çıkış Q1) ve Ksc = 8 (giriş C2 ve çıkışlar Q2, Q3, Q4) olan iki bağımsız parça içerir. Q1 ile C2 harici bağlantıları yardımıyla Ksc = 2 × 8 = 16 ile bir seri sayıcı alabilirsiniz. R1 ve R2 girişleri, R1 = R2 = 1 olduğunda meydana gelecek olan sayacı sıfırlamak (sıfırlamak) için kullanılır.

Rastgele bir sayma faktörü elde etme ilkesi, sayaç çıkışlarından sıfırlama girişlerine tek sinyallerin beslenmesine dayanır.

Örneğin Ksc = 10 elde etmek için önce tetikleyici sayısı belirlenir. Dört tane olmalı, çünkü 24 = 16, yani 10'dan fazladır. Q1 ile C2 arasında bağlantı kurulur. Sonra ondalık on sayısı ikili biçimde yazılır: Q1 = 0, Q2 = 1, Q3 = 0, Q4 = 1 olacaktır. Ksch = 1010 ile, maksimum çıkış kodu 910 sayısına karşılık gelir ve sonraki sayı 1010 değil 010'dur. Bu nedenle, onuncu darbeden sonra aynı anda görünen Q2 ve Q4 çıkışlarını R1 girişleriyle bağlayarak ve R2, Ksc = 1010'a karşılık gelen onuncu darbe ile karşı sıfırlamayı elde ederiz. Şekil 5.11, açıklanan yönteme göre oluşturulmuş Ksc = 10 olan bir sayacı göstermektedir.

Şekil 5.11-Ksc = 10'lu Sayaç IC K155IE5'e göre

Mikro devreler K155IE6, K555IE6, KR1533IE6 1-2-4-8 kodunda çalışan bir ikili ondalık, ters sayaçtır. Geleneksel grafik gösterimi Şekil 5.12'de gösterilmektedir.

Şekil 5.12-Sayaç K155IE6, K555IE6, KR1533IE6

K155IE6, K555IE6, KR1533IE6 mikro devresinin çıkış ve girişlerinin amacı:

+1 ve -1 girişleri saat darbeleri için, +1 doğrudan sayma için, -1 geriye doğru sayma için kullanılır.

Giriş R, sayacı 0'a ayarlamak için kullanılır,

L Girişi - D1 - D8 girişlerinden sayaca bilgi yazmak için.

Sayaç tetikleyicilerinin 0'a ayarlanması, günlük gerçekleştiğinde gerçekleşir. 1 R girişi, L girişinde ise bir log olmalıdır. 1. Sayaca 0'dan 9'a kadar herhangi bir sayıyı önceden kaydetmek için, kodu D1 - D8 girişlerine uygulanmalıdır (D1 en az anlamlı bittir, D8 en anlamlıdır), R girişinde ise bir log olmalıdır. 0 ve L girişine bir negatif polarite darbesi gönderin.

Ön kayıt modu, ayarlanabilir bir bölme faktörü ile frekans bölücüler oluşturmak için kullanılabilir. Bu mod kullanılmazsa, log seviyesi sürekli olarak L girişinde tutulmalıdır. 1.

Doğrudan sayma, +1 girişine negatif polarite darbeleri uygulandığında, -1 ve L girişlerinin log olması gerektiğinde gerçekleştirilir. 1, R girişinde - günlük. 0. Sayaç flip-floplarının değiştirilmesi, giriş darbelerinin eğimlerine göre gerçekleşir, çıkış> 9'da her onuncu giriş darbesiyle aynı anda, giriş +1'e uygulanabilen bir negatif çıkış taşma darbesi oluşur. sonraki mikro devreçok haneli sayaç Sayacın 1-2-4-8 çıkışlarındaki seviyeler, sayacın mevcut durumuna (ikili kodda) karşılık gelir. Geri sayım yapılırken giriş -1'e giriş darbeleri uygulanır, çıkış darbeleri çıkış ≤ 0'dan alınır.

KULLANILAN EDEBİYAT LİSTESİ

1. Aleksenko A.G. Mikrodevreler. - M.: Radyo ve iletişim. - 1982.

2. Biryukov S.A. TTL ve CMOS serisi dijital mikro devrelerin uygulanması. -M.: DMK. -2000

3. Bukreev Ya.P. Sayısal aygıtların mikroelektronik devreleri.- M.: Radyo ve iletişim.-1990.

4. Zeldin E.A. Bilgi ölçüm ekipmanında dijital entegre mikro devreler - L.: Energoatomizdat. - 1986.

5. entegre devreler: El kitabı. Ed. Tarabrina B.V. -M.: Energoatomizdat. -1985.

6. Malyshev A.A. Dijital teknolojinin temelleri - M.: Radyo ve iletişim - 1984

7. Ovechkin Yu.A. mikroelektronik - M.: Radyo ve iletişim - 1982.

8. Dijital devrelerin temelleri / I.P. Barbash, M.P. Blagodarny, V.Ya. Zhikharev, V.M. Ilyushko, V.S. Krivtsov, P.M. Kulikov, M.V. Nechiporuk, G.M. Timonkin, V.S. Kharchenko.-Kh. Ulusal Aerokosmichny Üniversitesi hava. içinde ". - 2002.

"DİJİTAL ŞEMA MÜHENDİSLİĞİ"

HARKOV 2006

Önsöz

1 DİJİTAL MİKRO DEVRE MÜHENDİSLİĞİNİN LOJİK VE KİMYASAL TEMELLERİ

1.2 Mantık kapıları

1.3 Mantık cebirinin temel yasaları

1.4 Ayrık normal formlar

1.5 Boole İşlevlerini En Aza İndirme

1.6 Birleşimsel mantık devrelerinin sentezi

2 KOMBİNASYON ŞEMALARI

2.1 Genel hükümler

2.2 Kod Çözücüler

2.3 Karıştırıcılar

2.4 Demultiplexer'lar

2.5 Çoklayıcılar

2.6 Aritmetik cihazlar

3 TETİKLEME CİHAZI

3.1 Temel kavramlar

3.2 Asenkron RS flip-flop

3.3 Senkron tetikleyiciler

4 KAYIT

4.1 Kayıtlar hakkında genel bilgiler

4.2 Bellek kayıtları

4.3 Vardiya kayıtları

4.4 Tersinir kayıtlar

4.5 Genel Amaçlı Kayıtlar

5 SAYAÇ

5.1 Sayaçlar hakkında genel bilgiler

5.2 Sıralı taşımalı sayaçlar

5.3 Paralel Transfer Sayaçları

5.4 Tersinir sayaçlar

5.5 Metre, 2n'ye eşit olmayan keyfi bir sayma faktörü ile

KULLANILAN EDEBİYAT LİSTESİ

ÖNSÖZ

Bu metodolojik el kitabı, disiplinlerin incelenmesini sağlayan bilgileri içerir:

- Uzmanlık öğrencileri için "Dijital devre" 5.091504 (Bilgisayar ve akıllı sistem ve ağların bakımı);

- 5.090805 uzmanlık öğrencileri için "Mikrodevre" (Elektronik ürünlerin tasarımı, üretimi ve bakımı);

- 5.090704 uzmanlık alanı öğrencileri için "Elektronik cihazlar ve mikro elektronik" (Radyo mühendisliği cihazlarının tasarımı, üretimi ve bakımı).

Bu çalışmada sunulan materyal, öğrencileri modern dijital mikro devrelerin temelleri ile tanıştırmayı amaçlamaktadır ve hem küçük ve orta derecede entegrasyon mikro devreleri şeklinde bağımsız ürünler olarak hem de yaygın olarak kullanılan ana dijital cihaz türlerini içerir. yüksek derecede entegrasyona sahip mikro devrelerin bir parçası: mikroişlemciler ve mikrodenetleyiciler.

Kılavuz beş bölümden oluşmaktadır:

Sayısal mikro devrelerin mantık ve devre temelleri,

Kombinasyon şemaları,

Tetik cihazları,

Kayıtlar,

Sayaçlar.

Materyalin sunumu, dijital cihazların analiz ve sentezinin temel teorik ilkelerini tutarlı bir şekilde "basitten karmaşığa" sunacak şekilde yapılandırılmıştır. Her bölüm, incelenen cihazın geleneksel grafik tanımı, çalışma tablosu, işlevsel veya şematik diyagram ve gerektiğinde çalışma zamanlama diyagramları hakkında bilgi sağlayan alt bölümleri içerir. Şemaların her birine, çalışmasının mantığının ayrıntılı bir açıklaması, her öğrencinin dijital devrelerin çalışmasını analiz etme ilkelerine hakim olacağı ve gerekli becerileri kazanacağı şekilde verilir. Yukarıdaki şemaların her biri bu cihaz için tipiktir. Bu, başka bir devre uygulamasını hariç tutmaz.

Konuya hakim olmayı daha rahat ve görsel hale getirmek için temel kavramlar, tanımlar, kurallar "kalın" yazı tipiyle vurgulanmıştır.

Materyalin sunumunun, incelenen dijital cihazların artan karmaşıklık sırasına göre gerçekleştirildiği ve sonraki her konunun bir öncekinin materyaline dayandığı göz önüne alındığında, bu metodolojik kılavuzun karşılık gelen sırayla kullanılması tavsiye edilir. bölümler yer almaktadır.

Bu kılavuz, yalnızca dijital mikro devrelerin teorik temellerini incelerken değil, aynı zamanda dijital cihazların montajı ve hata ayıklamasında bilgiyi derinleştirmek ve pratik beceriler kazanmak olan laboratuvar çalışmalarına hazırlanırken de yararlıdır. Kılavuz, kendi kendine çalışmanın yanı sıra kurs ve diploma tasarımı için de kullanılabilir.

1 DİJİTAL MİKRO DEVRE MÜHENDİSLİĞİNİN LOJİK ve şematik TEMELLERİ

1.1 Mantık cebirinin temel kavramları

Mantık, yasaların ve düşünme biçimlerinin bilimidir.

Matematiksel mantık, mantıksal problemleri çözmek için matematiksel yöntemleri uygulama bilimidir.

Tüm dijital bilgi işlem aygıtları, belirli mantıksal işlemleri gerçekleştiren öğeler üzerine kuruludur. Bazı öğeler, dijital veya diğer bilgileri temsil eden ikili sembollerin işlenmesini sağlar, diğerleri - bilgilerin iletildiği kanalların değiştirilmesi ve son olarak, üçüncü - kontrol, çeşitli eylemlerin etkinleştirilmesi ve bunların uygulanması için koşulların uygulanması.

Bu elemanların giriş ve çıkışlarında hareket eden elektrik sinyalleri, kural olarak, iki farklı seviyeye sahiptir ve bu nedenle, örneğin 1 veya 0 gibi ikili sembollerle temsil edilebilir. Bir olayın oluşumunu belirtmek için anlaşalım (örneğin, yüksek voltaj seviyesinin varlığı -veya devrenin noktası) sembolü 1. Bu sembole mantıksal birim denir. Herhangi bir olayın olmaması, mantıksal sıfır olarak adlandırılan 0 sembolü ile gösterilecektir.

Böylece, bir ikili elemanın girişindeki veya çıkışındaki her sinyale, yalnızca iki değer alabilen bir mantıksal değişken atanır: mantıksal birimin durumu (olay doğrudur) ve mantıksal sıfırın durumu (olay yanlıştır). ). Bu değişkenler, on dokuzuncu yüzyılda matematiksel mantığın temel ilkelerini geliştiren İngiliz matematikçi J. Boole'dan sonra Boolean olarak adlandırılır. Mantıksal bir değişkeni x sembolü ile gösterelim.

Çeşitli boole değişkenleri, işlevsel bağımlılıklarla bağlanabilir. Örneğin, y = f (x1, x2) ifadesi, y mantıksal değişkeninin, argümanlar veya girdi değişkenleri olarak adlandırılan mantıksal değişkenler x1 ve x2 üzerindeki işlevsel bağımlılığını gösterir.

Herhangi bir mantıksal işlev, her zaman en basit mantıksal işlemlerin bir koleksiyonu olarak temsil edilebilir. Bu tür işlemler şunları içerir:

Olumsuzlama ("DEĞİL" işlemi);

Mantıksal çarpma (bağlaç, "VE" işlemi);

Mantıksal ekleme (ayrılma, "VEYA" işlemi).

Olumsuzlama ("DEĞİL" işlemi), girdi mantıksal değişkeni x ile çıktı mantıksal değişkeni y arasında, y'nin yalnızca x yanlış olduğunda doğru olduğu ve tersine, y'nin yalnızca x doğru olduğunda yanlış olduğu mantıksal bir bağlantıdır. Bu işlevsel bağımlılığı doğruluk tablosu olarak adlandırılan tablo 1.1 şeklinde gösterelim.

Doğruluk tablosu, ikili argüman değerlerinin olası tüm kombinasyonlarının mantıksal bir işlevin değerlerine karşılık gelen bir tablodur.

Tablo 1.1- "DEĞİL" işleminin doğruluk tablosu

x y
0 1
1 0

Bir y değişkeninin DEĞİL mantıksal işlevi y = olarak yazılır

ve "y yok x" okur. Örneğin, x, yüksek seviyeli bir sinyalin (mantıksal olan) varlığına ilişkin bir ifade ise, o zaman y, düşük seviyeli bir sinyalin (mantıksal sıfır) varlığına ilişkin ifadeye karşılık gelir.

Mantıksal çarpma (bağlaç, "VE" işlemi), yalnızca çarpılmakta olan tüm değişkenler aynı anda doğru olduğunda doğru olan bir fonksiyondur. Mantıksal çarpma işleminin doğruluk tablosu tablo 1.2'ye karşılık gelir.

Tablo 1.2- Mantıksal çarpma işleminin doğruluk tablosu

x2 x1 y
0 0 0
0 1 0
1 0 0
1 1 1

VE işlemi bir nokta () ile gösterilir. Bazen nokta ima edilir. Örneğin, x1 ve x2 değişkenleri arasındaki “VE” işlemi y = x1 x2 olarak gösterilir.

Mantıksal toplama (ayrılma, "VEYA" işlemi), yalnızca değişkenlerin tüm terimleri aynı anda yanlış olduğunda yanlış olan bir işlevdir. Mantıksal toplama işleminin doğruluk tablosu tablo 1.3'e karşılık gelir. "VEYA" işlemi V işaretiyle gösterilir. Örneğin, y = x1 V x2.

Tablo 1.3 - Mantıksal toplama işleminin doğruluk tablosu

SAYFA 173

ders kursu teknik elektronik

ders 26

Dijital devrenin temelleri

26.1 mantık kapıları

dijital olarak bilgisayar makineleri, otomasyon ve bilgi işleme cihazları, mantıksal işlemleri gerçekleştiren cihazları kullanır.

mantıksal işlemmantık cebirinin kurallarına göre bir dönüşümdür (veya boole cebiri) dijital bilgileri çıkışa girin.

Giriş sinyalleri üzerinde belirli bir mantıksal işlemi gerçekleştiren işlevsel olarak en basit mantıksal aygıta denir.mantıksal öğe.

Mantık cebirinde, belirli bir mantıksal işlemin sonuçları hakkındaki bir yargının veya ifadenin doğruluğu, 1 sembolü, yanlışlık - 0 ile gösterilir. Böylece,mantık cebirindeki boole değişkenleri sadece iki değer alır: bir ve sıfır... Bunlara ikili değişkenler denir. Mantık cebirini elektronik elemanlar üzerinde uygulamak için, bu elemanların parametrelerinin değerini mantık cebirinin diline (0 veya 1) çevirmek gerekir. Parametre değerleri voltaj seviyesi veya darbe polaritesi ile ayarlanabilir.

Sinyaller yüksek (pozitif veya negatif polarite) ve düşük (sıfıra yakın) voltaj seviyeleri şeklinde verilirse, bu sinyalizasyon yöntemine potansiyel denir.Voltaj seviyesi yüksekse 1 "bir" değeri atfedilir ve düşük sen ° - "sıfır", ardından mantık pozitif (pozitif) olarak adlandırılır, aksi halde - olumsuz (olumsuz). Bir ve sıfır seviyeleri arasındaki farka mantıksal düşüş denir. U l = U 1 - U 0 ... Önemli olmalıdır, aksi takdirde bir düzeyi diğerinden açıkça ayırmak mümkün olmayacaktır.

Sinyaller darbe biçiminde sağlanırsa, bu sinyal iletim yöntemine darbe denir. Bu durumda, mantıksal bir birim bir dürtünün varlığına karşılık gelir, mantıksal bir sıfır, bir dürtünün yokluğuna karşılık gelir (pozitif mantık). 1'e (veya 0) karşılık gelen sinyaller giriş ve çıkışta farklı olabilir. En yaygın olanları, teknoloji kullanılarak üretilebildiklerinden potansiyel mantıksal öğelerdir. Entegre devreler.

Temel mantıksal işlemler ve mantıksal öğe türleri.

Herhangi bir karmaşıklığın mantıksal devresinin oluşturulabileceği bir mantıksal öğeler sistemine işlevsel olarak eksiksiz denir.... Ana ve en basit mantıksal öğeler, performans gösteren öğelerdir.olumsuzlama (DEĞİL), bağlaç (VE), ayrılma (VEYA) işlemleri.İşlevsel olarak oluşturuyorlar komple sistem ve minimum temel sistemdir. Bu işlemlerin ve mantıksal öğelerin her birinin farklı bir adı vardır (Tablo 26.1).

Tablo 26.1 Dört mantıksal öğenin doğruluk tablosu

Bu tablo, mantıksal öğelerin adlarını verir, bu işlemin tanımı, işlem kaydının nasıl okunduğunu gösterir, işlevsel diyagramlardaki mantıksal öğeler belirtilir ve ayrıca iki giriş ve bir giriş olduğunda durum için doğruluk tablosu çıktı. Doğruluk tablosu, kuralları ve işlemlerin sonucunu içerir. Hatlarının her birinde, girişlerdeki sinyallerin durumu (x 1, x 2 ) ve çıktıdaki mantıksal işlemin sonucu (y). V Genel dava mantıksal öğeye sahip olabilir n giriş ve n çıkış.

İşlevsel olarak eksiksiz bir sistem, mantıksal işlemleri gerçekleştiren bileşik (birleşik) mantıksal öğelerle sağlanabilir VE - DEĞİL, VEYA - DEĞİL... İsimleri, tanımları da tabloda verilmiştir. 26.1.

Mantık öğeleri, hem ayrı cihazlarda hem de entegre teknoloji yöntemleriyle gerçekleştirilir.Çoğu entegre devre serisi için temel sistem bileşik mantıksal öğelerdir VE - DEĞİL veya VEYA - DEĞİL.Mühürlü bir durumda ayrı mikrominyatür cihazlar olarak üretilirler.

Yarı iletken cihazlara dayalı mantık kapılarını düşünün. AND ve OR mantık elemanları dirençler, diyotlar, bipolar ve alan etkili transistörler ve tünel diyotlar üzerinde gerçekleştirilebilir. Öğe, transistörlerde YAPILMAZ.

Farklı kademelerdeki kompozit mantık elemanları, farklı cihazlarda (dirençler, diyotlar, transistörler, hem bipolar hem de alan) gerçekleştirilebilir, yani farklı devre seçeneklerine sahip olabilirler. Tasarımlarına göre direnç-transistör (RTL) mantığı olarak adlandırılırlar; diyot-transistör (DTL); transistör-transistör (bipolar transistörlerde - TTL; sahada - p-kanalı MOSTL, n -kanal MOPTL; tamamlayıcı alan etkili transistörlerde - CMOS veya CMOSTL; verici bağlantılı transistörlerde - TLES veya ESL).

Transistörlere özgü mantık enjeksiyon mantığıdır - I2L, üzerinde transistör devrelerinde analogları yoktur. ayrık elemanlar... Mantık elemanlarının aşamaları arasındaki bağlantı ya doğrudan ya da bir direnç üzerinden ya da üzerinden gerçekleştirilir. uzaktan kumanda -zincir. Daha sonra mantığın adına karşılık gelen mantık eklenir. harf atamaları: NSTL - transistör mantığı ile Doğrudan iletişim; NSTLM - bir MOS transistöründe doğrudan bağlantılı transistör mantığı; RETL - dirençli-kapasitif kuplajlı transistör mantığı.

Ayrık tasarımda temel mantık kapıları.

Mantık kapısı DEĞİL(Tablo 26.1) bir giriş ve bir çıkışa sahiptir ve NOT işlemini gerçekleştirir. Anahtar modunda çalışan bir bipolar veya alan etkili transistör üzerindeki bir amplifikatör aşamasıdır. İncirde. 26.1 iki kutuplu bir DEĞİL öğesini gösterir npn OE ile şemaya göre bağlı transistör.

Öğe, pozitif mantıkta pozitif kutupluluk sinyalleriyle çalışmak üzere tasarlanmıştır. Transistör T, EB kaynağından sağlanan tabanda negatif potansiyel ile kapatılır. Elemanın girişine düşük seviyeli bir sinyal U uygulandığında içinde = U 0 mantığa karşılık gelen, transistör kapalı kalır, kollektör akımı sıfırdır, yani direnç R üzerinden K akım geçmez ve çıkış voltajı U dışarı = + E K , yani yüksek seviye U 1 mantıksal 1'e karşılık gelir.

U girişinde yüksek voltaj seviyesinde içinde = U 1 transistör doyma modunda, kollektör akımı belirir ve direnç R üzerinde K yaklaşık olarak E'ye eşit bir voltaj düşüşü yaratılır K , ve çıkış voltajı yaklaşık olarak sıfıra eşittir (U dışarı = U 0 ), yani mantıksal bir sıfır olacaktır. Yani x = 0 ise y = 1, x = 1 ise y = 0, yani elemançevirici - bir olumsuzlama işlemi gerçekleştirir.

Not: Unutulmamalıdır ki, eleman bir NPN silikon transistörde yapılırsa, öngerilim kaynağı E B onu açamazsınız, çünkü tabandaki pozitif potansiyellerde bile (0,6 V'a kadar), transistör pratik olarak kapalıdır.

Mantık öğesi VE(tablo 26.1)

İki (veya daha fazla) girişi ve bir çıkışı olabilir ve hem potansiyel hem de darbe sinyalleriyle çalışabilir. Bunun bir analogu, seri bağlı röle kontaklarının bir devresi olabilir. Diyotlar üzerinde yapılan And elementinin işini düşünün.

Gerilim (veya darbe) şeklindeki sinyallerle çalışmak üzere tasarlanmış elemanpozitif mantıkta pozitif kutuplulukŞekil 'de gösterilmektedir. 26.3, bir. Üç girişi ve bir çıkışı vardır.Öğe, aşağıdaki durumlarda AND işlemini uygular:sinyal 1, yalnızca sinyal 1 tüm girişlerde aynı anda mevcut olduğunda çıkışta görünür... Bu durumda, en az bir girişte mantıksal sıfıra karşılık gelen bir sinyal mevcutsa, açık bir diyot aracılığıyla çıkışa iletilmeli ve giriş tarafında mantıksal 1'e karşılık gelen sinyallerin üzerinde hareket ettiği bu diyotların bloke edildiğinden emin olunmalıdır. Açık bir diyotun direncinin R olduğunu varsayıyoruz. dotcr << R, а потенциалы сигнала и источника питания E схемы имеют значения, удовлетворяющие соотношению U 0 < Е < U 1 .

Devre girişlerinden birinde ise, örneğin Bx 1 sinyal U 0, ardından diyot D 1 açık olacak ve akım + E devresi, direnç R, diyot D üzerinden geçecek 1, kaynak U 0 ... E kaynağının tüm voltajı R direncine uygulanacak ve çıkıştaki voltaj U'ya eşit olacaktır. 0 , yani çıkış sinyali mantıksal sıfırdır. Yüksek potansiyel U, diğer girişlere etki eder 1 , bu nedenle, diyotlar kapalıdır, çünkü anotları çıkıştaki terminale düşük bir U potansiyeli ile bağlanır. 0 , ve katotlar - yüksek pozitif potansiyele U 1 .

U gerilimi tüm girişlere etki ediyorsa 1 , sonra tüm diyotlar kapanacak, devredeki akım + E K , R, kapalı diyot, kaynak U 1 geçmez ve R direnci üzerindeki voltaj düşüşü sıfırdır. çıkış voltajı E> U 0 , bu mantıksal 1'e karşılık gelir. Böylece, girişlerden en az biri mantıksal sıfıra karşılık gelen bir sinyalden etkilenirse, çıkıştaki sinyal de mantıksal sıfıra karşılık gelir. Çıkış sinyali, yalnızca tüm girişlerdeki sinyaller mantık 1'e karşılık gelirse mantık 1'e karşılık gelir.

İncirde. 26.3, b, d, e sırasıyla pozitif mantıkta negatif polarite, negatif mantıkta pozitif (Şekil 26.3, d) ve negatif (Şekil 26.3, e) polarite sinyalleriyle çalışması amaçlanan elemanları gösterir. Aynı elemanın hem pozitif hem de negatif sinyallerden çalışabileceğini, ancak pozitif sinyaller için açılan güç kaynağının polaritesinin negatif sinyaller için pozitif (+ E) - negatif (-E) olması gerektiğini unutmayın. Elemanlar, Şekil 1'deki elemanla aynı şekilde çalışır. 26.3, bir. Şekil l'de gösterilen en yaygın elemanlar. 26.3, bir, d.

Eleman Güç kaynağı olmadan çalışabilirim. Bu durumda, diyotu açmak için sadece iki seçenek mümkündür ve Şekil 1'deki eleman. 26.3, c, AND işlemini yalnızca pozitif mantıkta negatif polarite sinyallerinden ve Şekil 2'deki elemandan uygular. 26.3, e - sadece negatif mantıkta pozitif polarite sinyallerinden. Güç kaynağı olmayan hücreler, güç kaynağı olan hücrelere göre daha az tercih edilir.

Mantık öğesi VEYA(tablo 26.1)

İki (veya daha fazla) girişi, bir çıkışı olabilir ve hem potansiyel hem de darbe sinyalleriyle çalışabilir. Analogu, paralel olarak bağlanmış bir röle devresi olabilir.

Diyotlar üzerinde yapılmış ve voltaj (darbe) şeklindeki sinyallerden çalışmak üzere tasarlanmış bir VEYA elemanını düşünün.pozitif mantıkta pozitif kutupluluk.Elemanın VEYA işlemini gerçekleştirebilmesi için, yalnızca girişlerden en az birinin sinyal 1 üzerinde etkili olması durumunda çıkıştaki sinyalin 1 değerine sahip olması gerekir.... Bu durumda girişteki sinyal 1, giriş tarafından 0 sinyalinden etkilenen tüm diyotların bloke edilmesini sağlamalıdır.Düşük U sinyal kaynağının potansiyel oranı 0 ve yüksek U 1 devrenin seviyeleri ve güç kaynağı E, elemanın devresindeki ile aynıdır Ve: U 0 < E < U 1 (если U 1 < E, то диоды будут всегда закрыты и выходное напряжение не будет изменяться). Сопротивление диода в открытом состоянии R Nokta ≈ 0.

Tüm girişlere düşük voltaj U uygulanırsa 0 , tüm diyotlar kapalıdır, çünkü anotlarının potansiyeli katotların potansiyelinden daha düşüktür (φ K = -E); bu nedenle, çıkış voltajı E'ye eşittir< U 1 , yani çıkışta, sinyal mantık 0'a karşılık gelir. Girişlerden en az birine uygulandığında, örneğin, In 1 , yüksek voltaj sen 1 diyot D açılacak 1 , bu girişe bağlı ve açık diyotun direnci sıfır olduğundan, potansiyel φ K = + U1 ve çıkışın bir U sinyali var 1 (mantıksal 1). Bu sırada giriş tarafından bazı diyotlara düşük potansiyel U uygulanırsa 0 , kapalı oldukları ortaya çıkacak, çünkü potansiyel φ K = + U1 ... Bu nedenle, girişlerden en az biri (veya birinci veya ikinci veya üçüncü) sinyal mantıksal 1'e karşılık geliyorsa, çıkışta sinyal mantıksal 1'e karşılık gelecektir.

Şekil karşılaştıralım. 26.5, a, negatif mantıkta pozitif polarite sinyallerinden çalışmak üzere tasarlanmış bir VEYA elemanını gösterir, Şekil 2'den. 26.3, d.Onlar aynı. Bu nedenle, pozitif mantıktaki bir OR öğesinin negatif mantıkta bir AND işlemi gerçekleştirebileceği ve bunun tersi olduğu not edilebilir. Tüm elemanlar Ve şek. 26.3 VE öğesinden farklı bir mantıkta, VEYA işlemi uygulanır.

VE öğesi, AND öğesi gibi bir güç kaynağı içermeyebilir. Şek. 26.5, b, pozitif mantıkta pozitif polarite sinyallerinden çalışmak üzere tasarlanmıştır ve Şekil 2'de. 26.5, in - negatif mantıkta negatif kutupluluk sinyallerinden. Bu OR elemanlarının Şekil 1'deki AND elemanları ile karşılaştırılması. 26.3, c, e, her iki öğenin de her iki işlemi de gerçekleştirebileceğini onaylar: AND ve OR; VE (VEYA) öğesi - pozitif mantıkta, negatif mantıkta - VEYA (VE).

VEYA - DEĞİL ve VE - DEĞİL işlemleri, sırasıyla VEYA ve VE işlemi gerçekleştirilirken elde edilen sonuçların ters çevrilmesiyle oluşturulur:

VEYA - DEĞİL (26.1)

VE YOK (26.2)

iki giriş elemanı için doğruluk tablosundan görülebilir (Tablo 26.2).

Tablo 26.2 - İki girdi öğesi için doğruluk tablosu

Pozitif mantıkta (Tablo 26.3), negatif mantıkta VE - DEĞİL işlemini gerçekleştiren bir eleman OR - DEĞİL işlemini gerçekleştirecektir (Tablo 26.4).

Tablo 26.3 Tablo 26.4

İntegral mantık kapıları, potansiyel formdaki sinyallerle çalışmak üzere tasarlanmıştır. Farklı mantık türlerine göre yürütülebilirler. Mantık türü, öğenin özelliklerini etkiler. Entegre bipolar mikro devrelerde, n-p-n tipi silikon transistörler daha sık kullanılır (NOT elemanının notuna bakın). Doyma modunda, bu tür transistörlerin vericisi ve toplayıcısı arasındaki voltaj nispeten yüksektir (0,4 V ve daha yüksek).

27. Ders

Dijital devrenin temelleri

Transistörlerde 27.1 mantık kapıları

Mantık öğesi VE - DEĞİL diyot-transistör mantığı (DTL). Giriş Sinyalleri AND elemanına beslenir, çıkış sinyali NOT elemanından çıkarılır... Bu nedenle, AND geçidinin - DEĞİL çıkışında, kapının girişi mantıksal 0'a karşılık gelen bir sinyal YOKSA, sinyal mantıksal 1 olacaktır. Bunun gerçekleşmesi için AND geçidinin en az bir girişi olmalıdır. mantıksal 0'a karşılık gelen bir sinyal ile sağlanacak. Mantık VE kapısı - pozitif polariteye sahip sinyaller için DEĞİL, şek. 27.1. D diyotları üzerinden bir bağlantıdır. ile birlikte iki eleman: bir diyot elemanı VE ve bir transistör elemanı DEĞİL (sırasıyla, NOT ve AND elemanlarını gösteren Şekil 26.3, a ve Şekil 26.1'e bakın). Bu durumda, "NOT" öğesinin E yer değiştirme kaynağı yoktur. B , silikon transistörlerin çalışması hakkında daha önce yapılan açıklamaya dayanarak. Ek olarak, mantıksal 0 ve 1'e karşılık gelen voltajların değerleri doğru seçilmelidir, çünkü taban voltajı 0,6V'den biraz daha az olduğunda, transistör kapalı olacaktır ve doyma modunda emitör ile kollektör arasındaki voltaj 0.4V'dir (ve üstü).

Elemanın işleyişini ele alalım. Tüm girişlere U gerilimi uygulanırsa 1 (mantık 1), tüm diyotlar (D 1D2,D3 ) kapanacak ve devredeki akım E kaynağı olacaktır. 1, direnç R 1 , açık diyotlar Dc transistörün tabanına geçecektir. R direnci üzerindeki voltaj düşüşü nedeniyle 1 potansiyel φ 1 + E potansiyelinden biraz daha düşük olduğu ortaya çıktı 1, diyot D 1 tabanın potansiyeli φ B transistör potansiyelden küçüktür φ 1 Dc diyotları boyunca voltaj düşüşünün değerine (ancak 0.6V'un üzerinde, böylece transistör doyma modunda olacaktır). Elemanın çıkışında düşük voltaj U KURULMAYACAKTIR 0 mantıksal 0'a karşılık gelir. En az bir giriş varsa, örneğin, In 1 , voltaj U 0 , ardından ilgili diyot D 1 potansiyel φ 1 olacak ≈ U 0 ... E kaynağından gelen akım 1 R direncinden geçecek 1 ... Akımın bir kısmı açık diyot D üzerinden kapanacaktır. 1 ; kaynak U 0, kaynak E 1 , kısmi geçiş diyotları Dc, direnç R 2 ve kaynak E 1 ... Baz potansiyeli φ B = OLSUN potansiyelden daha düşük olacaktır φ 1 Önyargı diyotları Dc boyunca voltaj düşüşünün değeri üzerinde. Bu durumda eleman, Dc diyotlarındaki voltaj düşüşü φ olacak şekilde hesaplanır. B = OLSUN > 0, ancak önemli ölçüde 0,6V'den az. Bu durumda transistör kapanacak ve NOT elemanının çıkışındaki voltaj E'ye eşit olacaktır. K> U 0 , yani, mantıksal bir 1 elde ederiz.

Mantık öğesi VE - DEĞİL transistör-transistör mantığı (TTL)... En basit VE - DEĞİL öğesi Şekil 2'de gösterilmiştir. 27.2, a. İki bölümden oluşur: VE çok yayıcılı bir transistör T üzerindeki eleman 1 ve T transistöründe DEĞİL olan eleman 2 ... Doğrudan bağlantı: toplayıcı T 1 transistör T'nin tabanına bağlı 2 ... Transistör T'nin temel devresindeki yer değiştirme 2 toplayıcı bağlantı T gerçekleştirir 1 ... Üç emitör bağlantısı T 1 elemanın girişine bağlı (Şekil 27.2, b), devredeki VE diyotlardaki giriş diyotlarının işlevlerini yerine getirir.

DTL öğeleriyle karşılaştırıldığında, TTL öğelerinin yanıt verme hızı daha yüksektir. Eleman, entegre mikro devreler teknolojisi kullanılarak yapılır, bu nedenle reaktif elemanlar içermez. Pozitif voltaj şeklindeki sinyaller üzerinde çalışır.

Bu tür elemanların çalışma prensibini ele alalım. Tüm girişlere U gerilimi uygulanırsa 1 , sonra tüm emitör bağlantıları ters yönde kayar. Transistör T'nin kollektör potansiyeli 2 sıfıra yakın olacak, baz-toplayıcı geçişi kaynak + E nedeniyle ileri yönde yer değiştirecek K. Transistör T 1 ters modda olacak, transistör T 2 - doygunluk modunda. Kollektör akımı transistör T 1 transistör T'nin tabanına akar 2 , ikincisini doygunluk modunda bırakarak. Böylece çıkış düşük seviyeli bir U voltajı olacaktır. 0 , yani mantıksal 0.

Girişlerden birine U gerilimi uygulanırsa 0 , daha sonra transistör T'nin tabanının potansiyeli 1 emitör ve kollektörün potansiyellerinden daha yüksek olur, bu nedenle T 1 doygunluk modunda olacak ve temel akım, emitör bağlantılarından T kapanacak 1 ve toplayıcısına ve dolayısıyla T tabanına girmeyecektir. 2 ... Bu nedenle, transistör T 2 kapatılacak ve çıkışında - yüksek seviyeli bir voltaj (mantık 1). Böylece eleman VE - DEĞİL işlemini gerçekleştirir, çünkü çıkıştaki mantıksal sıfır sinyali ancak tüm girişlere mantıksal bir sinyal uygulandığında olabilir.

27.2.1 Mantık öğesi VEYA - n-kanal MOSFET mantığı DEĞİL (MOSTL). Alan etkili transistörlerdeki mantık devrelerinde sadece SiO dielektrikli MOS transistörleri kullanılır. 2 ... MOSFET devrelerinin diğer devrelere göre ana avantajları, yüksek derecede entegrasyon ve artırılmış gürültü bağışıklığıdır.

İndüklenmiş bir n-kanallı bir MOSFET üzerindeki VEYA - DEĞİL devresini düşünün (Şekil 27.3). Daha önce düşünülen devrelerin aksine, yük direnci R yerine K bir MOS transistörü var (Şekil 27.3'teki şemada T olarak adlandırılmıştır) K ). Bunun nedeni, çekme direncinin devre alanını büyük ölçüde artırmasıdır. Mantık transistörleri T 1 ve T2 paralel bağlı. Her birinin giriş voltajı, kapı voltajına eşittir: UХ1 = U ЗИ1, U Х2 = U ЗИ2 ; çıkış voltajı boşaltma voltajına eşittir: UÇIKIŞ = U SI ... Besleme voltajı genellikle Uthr eşiğinden üç kat daha büyük seçilir (Uthr, kanalın oluşturulduğu kapı voltajıdır).

Uthr = 2,0 V ise, mantıksal fark (giriş ve eşik voltajları arasındaki fark) 4 V'tur. Mantık seviyeleri, açık ve kapalı transistörlerin çıkış voltajlarına karşılık gelir. Her iki girişe eşikten daha az voltaj uygulanırsa (mantıksal sıfıra karşılık gelir), o zaman transistörler T 1 ve T2 kapatılacak ve tahliye akımı neredeyse sıfır olacaktır. Bu durumda, yük transistörünün T boşaltma akımı K da sıfıra eşit olacaktır. Bu nedenle çıkış, E güç kaynağının voltajına yakın bir voltaj oluşturacaktır. C ve karşılık gelen mantıksal 1.

En az bir transistörün girişine eşik değerini (mantıksal 1'e karşılık gelen) aşan bir voltaj uygulanırsa, bu transistör açılacak ve bir boşaltma akımı görünecektir. Daha sonra devrenin çıkışında, mantıksal 0'a karşılık gelen eşik voltajından çok daha düşük bir artık voltaj olacaktır.

27.2.2 Tamamlayıcı transistör MOSFET (CMOS) mantığı. Ayırt edici özellik Bipolar teknolojilerle (TTL, ECL, vb.) karşılaştırıldığında CMOS devreleri, statik modda çok düşük güç tüketimine sahiptir (çoğu durumda, enerjinin yalnızca durum değiştirme sırasında tüketildiği varsayılabilir). CMOS yapısının diğer MOS yapıları (N-MOS, P-MOS) ile karşılaştırıldığında ayırt edici bir özelliği, hem n- hem de p-kanal alan etkili transistörlerin varlığıdır (Şekil 27.4); sonuç olarak, CMOS devreleri daha fazla yüksek hız eylemler ve daha az enerji tüketimi, ancak daha karmaşık bir üretim süreci ve daha düşük bir paketleme yoğunluğu ile karakterize edilirler.

Örneğin, CMOS teknolojisi kullanılarak oluşturulmuş bir 2I-NOT geçidinin devresini düşünün (Şekil 27.5).

Hem A hem de B girişleri bağlıysa yüksek seviye, daha sonra diyagramın altındaki her iki transistör açık ve her ikisi de kapalı, yani çıkış toprağa bağlı.

Girişlerden en az birine düşük bir seviye uygulanırsa, ilgili transistör üstte açık ve altta kapalı olacaktır. Böylece çıkış besleme gerilimine bağlanacak ve toprakla bağlantısı kesilecektir.

Devrede yük direnci yoktur, bu nedenle statik bir durumda, CMOS devresinden yalnızca kapalı transistörlerden geçen kaçak akımlar geçer ve güç tüketimi çok düşüktür. geçiş yaparken Elektrik enerjisi esas olarak kapıların ve iletkenlerin kapasitörlerini şarj etmek için harcanır, böylece tüketilen (ve harcanan) güç bu anahtarlamaların frekansıyla orantılıdır (örneğin, saat frekansı işlemci).

2OR-NOT devresi (Şekil 27.6) şu şekilde çalışır: her iki giriş de düşük olduğunda, üstteki her iki transistör de açıktır ve çıkış yüksektir. Girişlerden birine yüksek seviye uygulanırsa alttan transistörlerden biri açılacak ve çıkış toprağa bağlanacaktır.

2I-NOT mikro devresinin topolojisine sahip şekilde, farklı tasarımlarda iki adet iki kapılı alan etkili transistör kullandığını görebilirsiniz. Üstteki iki kapılı alan etkili transistör 2OR mantıksal işlevini yerine getirir ve alttaki iki kapılı alan etkili transistör 2 VE mantıksal işlevini gerçekleştirir.

Aşağıda JSC "Integral" de kullanılan 2OR-NOT şeması yer almaktadır.

Şekil 27.6'daki tüm tanımlamalar, JSC "Integral" kapı seviyesinin kitaplığından alınmıştır. Aynı yerde (kütüphanede) çeşitli valf yüklerinde zaman gecikmeleri ve güç kaybı ve bunun topolojik uygulaması verilmiştir.

İşlemciler de dahil olmak üzere modern mantık mikro devrelerinin büyük çoğunluğu CMOS devresini kullanır.

25 Nisan 2010, 16:16

Bireysel çalışma devre. Temel konseptler. Bölüm 1

  • Yeni Başlayanlar için Elektronik

Dijital devre çalışması, otomat teorisi ile başlamalıdır. Bu yazıda, daha sonraki yazılarda kaybolmamanıza yardımcı olacak bazı temel şeyleri bulabilirsiniz. Makaleyi kolay okunur hale getirmeye çalıştım ve hazırlıksız bir okuyucunun kolayca anlayabileceğinden eminim.


sinyal- mesajları bir iletişim sistemi üzerinden aktarmak için kullanılan maddi bir bilgi taşıyıcısı. Bir mesajdan farklı olarak bir sinyal üretilebilir, ancak alınması gerekli değildir (mesaj alıcı tarafından alınmalıdır, aksi takdirde bir mesaj değil, sadece bir sinyaldir).

Makale bir dijital ayrık sinyali tartışıyor. Bu, birkaç seviyesi olan bir sinyaldir. Açıkçası, bir ikili sinyalin iki seviyesi vardır - ve bunlar 0 ve 1 olarak alınır. Yüksek bir seviye bir ve düşük bir sıfır ile gösterildiğinde, bu mantığa pozitif, aksi halde negatif denir.

Dijital sinyal bir zamanlama diyagramı olarak gösterilebilir.

Doğada ayrık sinyaller yoktur, bu nedenle analog sinyaller ile değiştirilirler. Bir analog sinyal anında 0'dan 1'e gidemez; bu nedenle böyle bir sinyalin bir kenarı ve bir kesme noktası vardır.
Basitçe çizmek için şöyle görünür:

1 - düşük sinyal seviyesi, 2 - yüksek sinyal seviyesi, 3 - sinyal artışı (ön), 4 - sinyal düşüşü (kes)

Sinyaller dönüştürülebilir. Bunun için pratikte mantıksal öğeler kullanılır ve bunu formel olarak yazmak için mantıksal işlevler kullanılır. İşte ana olanlar:

Olumsuzlama - sinyali tersine çevirir.
Diyagramlar aşağıdaki gibi gösterilir:

Mantıksal VEYA (mantıksal ekleme, ayırma)

Diyagramda:

Mantıksal VE (mantıksal çarpma, bağlaç)

Diyagramda:

Son ikisinin negatif bir çıktısı olabilir (AND-NOT, OR-NOT). Mantıksal fonksiyonlarının değerleri ters çevrilir ve diyagramda çıktı bir daire ile çizilir.

İki bağımsız değişkenin mantıksal işlevlerinin özet tablosu şöyle görünür:

Mantıksal işlevlerle çalışmak, temelleri ekteki dosyada açıklanan mantık cebirinin yasalarına dayanır. Ayrıca kendi kendini kontrol etme görevleri vardır ve Kontrol soruları Bu konuda.

Mantık Cebir Fonksiyonları ile Mantık Devreleri Tasarlamak

mantık diyagramı Devrenin belirli bir çalışma kanunu yerine getirilecek, başka bir deyişle belirli bir mantıksal işlev yerine getirilecek şekilde birbirine bağlı bir dizi mantıksal elektronik eleman denir.
Çıkış sinyalinin giriş sinyaline bağımlılığına göre, tüm elektronik mantık devreleri şartlı olarak ayrılabilir:

Birinci tür şemalar, yani kombinasyonel devreler, çıkış sinyali sadece zamanın her anında giriş sinyallerinin durumuna bağlı olan;

İkinci tür şemalar veya biriktirme devreleri(şemalar ardışık) biriktirme devreleri içeren ( hafızalı elemanlar), çıkış sinyali hem giriş sinyallerine hem de devrenin önceki zamanlardaki durumuna bağlıdır.

Girdi ve çıktı sayısına göre, şemalar şunlardır: bir girdi ve bir çıktı ile, birkaç girdi ve bir çıktı ile, bir girdi ve birkaç çıktı ile, birkaç girdi ve çıktı ile.

Senkronizasyon yöntemine göre, şemalar harici senkronizasyonlu (senkron makineler), dahili senkronizasyonlu(Asenkron makineler bunların özel bir halidir).

Hemen hemen her bilgisayar, birinci ve ikinci tür değişen karmaşıklıktaki devrelerin bir kombinasyonundan oluşur. Böylece, herhangi bir dijital otomat işlemenin temeli dijital bilgi, iki tür elektronik elemandır: zeka oyunu veya kombinasyonel ve ezberlemek... Mantık öğeleri, dijital bilgi üzerinde en basit mantıksal işlemleri gerçekleştirir ve bunları depolamak için depolama öğeleri kullanılır. Bilindiği gibi, mantıksal işlem belirli kurallara göre giriş dijital bilgilerini çıkışa dönüştürmekten ibarettir.

Temel mantıksal işlevlerin, bahsedilen elektronik öğelerin mantıksal operatörleri olduğunu varsayabiliriz, yani. şemalar. Bu tür şemaların her biri belirli bir grafik sembolü ile gösterilir. (Yukarıda sunuldular - AND, OR, NOT, OR-NOT, AND-NOT öğeleri)

Örnek olarak, aşağıdaki, bir mantık işlevini uygulayan bir mantık dönüştürücünün (kombinasyonlu otomat) elektriksel bir işlevsel diyagramıdır. AND, OR, NOT mantıksal öğelerinin temel bir temelinde.

Konsolidasyon için, aşağıdaki mantıksal işlevleri uygulayan bir mantıksal devreyi bağımsız olarak sentezlemeyi öneriyorum:

Bu, örneğin Elektronik tezgahta yapılabilir.

İşte ilk tamamlanan görevin bir örneği:

Mantıksal unsurlardan - dijital teknolojinin temelini oluşturan "yapı taşlarından" ve amaçlarından bahsettim. Bu yazıda mantık kapıları içeren dijital mikro devrelerin kullanımı hakkında daha detaylı konuşacağım.

En basit şemalar

İlk şema en basitidir incelemek, bulmak Elektrik devrelerinin sürekliliği için. Bu probu kullanarak elektrik kontağının güvenilirliğini belirleyebilir, açık devre bulabilir, dirençlerin sağlığını kontrol edebilir ve yarı iletken diyotlar ve transistörler.

Elektrik devresinin sürekliliği için prob devresi.

Nasıl çalıştığını açıklayalım. XT probları açık olduğunda, ortak kabloya göre mantık elemanı DD1'in girişlerinde bir yüksek ayarlanır. mantık seviyesi Voltaj. Buna göre, DD1 öğesinin çıkışı düşük bir mantık seviyesi olacak, VD1 LED'i yanmayacaktır. Problar kısa devre yaparsa, DD1 girişi düşük bir mantık seviyesine sahip olacak ve çıkış yüksek bir mantık seviyesine sahip olacaktır. Parlayan bir diyot, çıkışların birbirine kısa devre olduğunu gösterecektir. Böylece problar çalışan bir devreye bağlandığında led yanacak, led kapalı ise devrede açık devre var demektir.

Aşağıdaki diyagram aşağıdadır mantık araştırması... Dijital cihazların elektrik devrelerinde lojik voltaj seviyesini belirlemek için tasarlanmıştır.


Mantık prob devresi.

V orijinal durum DD1 mantıksal elemanının girişlerinde ve DD2 çıkışında, sırasıyla yüksek bir mantık seviyesi ayarlanır, VD1 LED'i yanar. Lojik seviyesi yüksek bir devrede ledler yandığında VD1 led yanmaya devam eder ve DD1 girişinde düşük mantık seviyesi göründüğünde VD1 led buna göre söner.

Bilgi olmadan dijital mikro devrelerin kullanımı hakkında daha fazla anlatım mümkün değildir. dahili cihaz dijital TTL ve CMOS mikro devreleri ve bunların transfer özellikleri.

Dijital TTL mikro devrelerinin iç yapısı

Tüm dijital mikro devre aileleri, temel mantık kapıları... TTL ailesinin tüm mikro devreleri için böyle bir eleman eleman 2DEĞİL, aşağıdaki iç yapıya sahiptir. Aşağıda bir 2I-NOT elemanının bir diyagramı ve onun geçici tepkisi verilmiştir.


TTL 2I-NOT temel elemanının şeması ve onun geçici tepkisi.

eleman girişi çoklu yayıcı transistör VT1 daha sonra amplifikatör aşaması transistör VT2 üzerinde ve itme-çekme çıkış aşaması VT3, VT4 transistörlerinde.

Mantıksal eleman 2I-NOT'un çalışmasını tanımlayalım. İlk durumda, giriş voltajı 0,5 V'u geçmez ve transistör VT1'in emitör bağlantısı açıktır, bu voltaj kollektör bağlantısını açık duruma aktarmak için yeterli değildir, aynısı transistör VT2'nin emitör bağlantıları için de geçerlidir, VT4. Bu nedenle, bu transistörler kapalıdır ve transistör VT3, R2'den sağlanan voltaj tarafından açıktır. VD3 diyotu açık çıkıyor ve elemanın çıkışındaki voltaj yaklaşık 3 ... 4 V ( A noktası). Vericiler VT1 üzerindeki voltaj artmaya başladığında, transistör VT2 açılmaya başlar ve transistör VT3 sorunsuz bir şekilde kapanır ( bölüm A - B). Giriş transistöründeki voltajdaki daha fazla artış, transistör VT2'nin daha da açılmasına, R3'teki voltajın da artmasına ve transistör VT4'ün açılmasına neden olur. Sonuç olarak, transistör VT4'ün yayıcı bağlantısı, direnç R3'ü şönt eder ve transistör VT2 aniden açılır ve elemanın çıkışındaki voltaj düşer. Şuanda ( B - C bölümü) tüm transistörler açık ve aktif modda. Giriş voltajını artırmaya devam ederseniz, VT2 ve VT4 transistörleri doyma moduna geçecektir ( bölüm C - D) ve transistör VT3 kapanacak ve çıkış voltajının değeri, transistör VT4'ün doyma voltajına eşit olacak ve akım, direnç R4 tarafından sınırlanacaktır.

Bölüm B - C geçici yanıt kullanılabilir analog sinyal işleme için, bu modda geçici yanıt yüksek doğrusallığa ve maksimum güç tüketimine sahiptir.

Dijital CMOS mikro devrelerinin iç yapısı

TTL ailesinde olduğu gibi, CMOS mikro devreleri temel unsur 2I-NOT'tur, iç yapısı aşağıda gösterilen


CMOS 2I-NOT temel elemanının şeması ve onun geçici tepkisi.

Bu mantıksal öğe çalışır tamamlayıcı alan etkili transistörler... transistörler p-tipi kanallı (VT1, VT2) güç kaynağının pozitif iletkenine bağlı, n-tipi kanallı (VT3, VT4) seri bağlı.

2 V veya daha düşük bir giriş voltajıyla, geçit kaynağı bölümlerindeki voltaj (9 V besleme voltajında) en az 7 V olduğundan, transistörler VT1 ve VT2 açıktır. Transistör VT3'ün aynı bölümlerindeki voltaj ve VT4'ün onları açmak için yetersiz olduğu ortaya çıktı, bu nedenle elemanın çıkışında, besleme voltajına neredeyse eşit, yani yaklaşık 9 V bir voltaj olacaktır ( A noktası). Giriş voltajı arttıkça transistörler açılmaya başlar ve VT1 ve VT2 kapanır. Açık bölüm A - B bu süreç nispeten pürüzsüz, ancak B - C bölümü hızlanır ve en doğrusaldır. B noktasında VT1 ve VT2 transistörleri neredeyse tamamen kapalı ve VT3 ve VT4 açık. Bu durumda çıkış voltajı küçüktür ve giriş voltajının güç kaynağı seviyesine daha da artmasıyla sıfır olma eğilimindedir ( G noktası).

Doğrusal modda mantık kapısı

Analog sinyallerle çalışmak için dijital mikro devrelerin mantık elemanlarının kullanılması, ancak bunların mod doğrusal olarak ayarlandı veya ona yakın. Yani lineer modda TTL öğesi 10 ... 15 (yaklaşık 20 dB) kazançlı bir amplifikatöre eşdeğerdir ve CMOS öğesi- 10 ... 20 (20 ... 26 dB) kazançlı bir amplifikatör.


Mantık kapısı çıkışı doğrusal mod: akım, voltaj, geri besleme ile soldan sağa.

Mantıksal bir öğeyi doğrusal bir bölüme çıkarmak için çeşitli yöntemler kullanılır. Bunlardan biri dahil etme üzerine kuruludur. R direncinin TTL elemanının girişinde... Bu direnç, akımın TTL elemanının giriş transistörünün emitör bağlantısından geçmesine neden olacaktır. Harici direncin direncini değiştirerek, elemanın çıkışındaki voltajı değiştirebilir, yani çalışma noktasının transfer karakteristiği üzerindeki konumunu değiştirebilirsiniz. İçin TTL öğeleri böyle bir harici direncin direnci 1 kΩ ila 3 kΩ arasındadır. Ancak bu şekilde CMOS mikro devreleri için geçerli değildir, çıkış akımı olmadan çalıştıkları için (kaçak akımlar vardır, ancak küçük ve kararsızdırlar).

Mantık elemanını çalışma moduna getirmenin ikinci yolu besleme olabilir. karşılık gelen voltajın girişine, örneğin kullanarak dirençli bölücü... İçin böylece TTL öğeleri transfer karakteristiğinin doğrusal bölümünün ortası şuna karşılık gelir: giriş voltajı 1,5 ... 1,8 V, ve için CMOS 3 ... 6 V(9 V'luk bir besleme voltajında). Farklı mantık elemanları için bu voltaj aynı değildir, bu nedenle ampirik olarak seçilir. Giriş dirençlerinin değerleri, elemanların giriş akımlarının dirençli bölücüden alınan voltajı etkilemeyecek şekilde seçilir.

Üçüncü yöntem, bunun için en etkili olanıdır. olumsuz geri besleme oluşturmak (olumsuz geri besleme)çalışma noktasının transfer karakteristiğinin gerekli bölümünde otomatik olarak tutulması ve dikkatli bir harici direnç seçimi gerektirmemesi nedeniyle, elemanın girişi ve çıkışı arasındaki doğru akım ile. Bu yöntem için uygulanır inversiyonlu mantık kapıları giriş sinyali: DEĞİL, VE-DEĞİL, VEYA-NOT.

Direnç OOS devresindeki direnç elemana gerekli giriş akımı sağlanarak seçilir. İçin CMOS öğeleri tutar birkaç kilo-ohm'dan onlarca mega-ohm'a kadar, ve için TTL - onlarca Ohm'dan 1 kOhm'a... Ancak OOS kullanımı element kazancını azaltır.

Mantık Yükselteçleri

Mantık elemanlarını sinyal yükseltici olarak kullanmak için, çalışma noktasını transfer karakteristiğinin doğrusal bölümüne getirmek gerekir. Bu tür amplifikatörlerin ana özellikleri aşağıdaki tabloda gösterilmektedir.

Dizi şema
geri çekilme
doğrusal
mod
K ABD,
dB
F maks,
MHz
P ovmak
mW
sen dışarı,
V
R'de,
kOhm
dışarı,
kOhm
R1,
kOhm
R2,
kOhm
K155 OOC 18 40 20 1,2 0,6 0,05 0,68 0,68
Akım 21 0,8 1,9
K176 OOS 25 5,5 5 … 20 1,5 0,4 0,05 7,5 5,1
Akım 17 3 … 4 5,0 3,5 6 6,2 4
561 OOC 25 1000 7 1000 1000

TTL elemanına dayalı en basit amplifikatör diyagramı aşağıda gösterilmiştir. Amplifikatörün ayarlanması, transfer karakteristiğinin doğrusal bölümünün ortasında bir trimleme direnci R1 ile elemanın çalışma noktasının ayarlanmasına indirgenir.


Bir TTL öğesindeki en basit amplifikatör

dezavantaj basit amplifikatörler bir düşük giriş empedansı, bu onların uygulama kapsamını sınırlar. Ayrıca, kazanç küçüktür. elendi bu dezavantaj transistörlerle birlikte kullanılır. Kazanç, birkaç aşamayı seri bağlayarak artırılır. Ek olarak, dijital mikro devre, çok kanallı amplifikatörler oluşturmanıza izin veren birkaç özdeş eleman içerir. Bir örnek, aşağıda gösterilen diyagramdır. Amplifikatörün ana özellikleri: kazanç - 50; çıkış empedansı 50 Ohm, giriş empedansı 5 kOhm, üst kesim frekansı 40 MHz.


Girişte bir transistörlü amplifikatör devresi

CMOS elemanları, biri aşağıda gösterilen amplifikatörler için de kullanılabilir. Ortak dezavantaj CMOS elemanlarındaki amplifikatörler - yüksek çıkış empedansı... Çıkışa bir mantık elemanı takılarak ortadan kaldırılabilir. yayıcı takipçisi transistörde ve OOS devresine dahil edilmesinde.


CMOS elemanlarına dayalı amplifikatör devreleri.

Mantık kapılarındaki eşik cihazları

Eşik cihazları denilen karşılaştırıcılar dönüştürmek içindir analog sinyal dijital bilgilere dönüştürülür. En basit eşik cihazı, burada açıklanan Schmitt tetikleyicisidir. Nabız şekillendirme ve kurtarmaya ek olarak dijital sinyaller, eşik cihazları analog - dijital dönüştürücülerde, çeşitli şekillerde puls üreteçlerinde kullanılır.


Eşik cihazının devresi mantıksal öğelere dayanmaktadır.

Genel olarak, mantıksal bir öğenin kendisi bir eşik aygıtıdır, ancak onun transfer özelliği tamamen lineer değil. Mantık elemanının transfer karakteristiğinin doğrusallığını arttırmak için kapsanması gerekir. olumlu geribildirim (PIC) DC üzerinden direnç R2. Bu durumda, bir türe dönüşür Schmitt tetikleyici eşik voltajlarını düzenleme yeteneği ile. Histerezis döngü genişliği(eşik voltajları arasındaki fark), R1 ve R2 dirençlerinin oranına bağlıdır. Duyarlılık da bu dirençlere bağlıdır. R2'de bir artış ve R1'de bir azalma ile hassasiyet artar ve histerezis döngüsünün genişliği azalır. İçin TTL mikro devreleri direnç R1 = 0,1 ... 2 kOhm ve R2 = 2 ... 10 kOhm. CMOS elemanlarına dayalı eşik cihazları oldukça ekonomiktir ve dezavantajı düşük hassasiyettir. İçin CMOS mikro devreleri R1 birkaç on kilo ohm'dur ve R2 birkaç yüz kilo ohm'dur.

Mantıksal öğeler üzerinde jeneratörler

Dijital mikro devreler yaygın olarak kullanılmaktadır. çeşitli jeneratörlerin devreleri bir hertz'in kesirlerinden onlarca megahertz'e kadar olan frekanslarla ve çeşitli şekillerde nabız. Genel olarak, osilatörler, bir veya birkaç amplifikatör aşamasıdır ve bunlar tarafından kapsanır. frekansa bağlı geri bildirim... Bu tür devreler olarak RC, LC, RLC devrelerinin yanı sıra piezoseramik ve kuvars rezonatörleri kullanılır.

Aşağıda gösterilen RC frekansına bağlı devreli jeneratör devresi... Bu jeneratörün çalışması, kapasitör C1'i direnç R1 üzerinden şarj etme ve boşaltma işlemleriyle ilişkilidir.


RC osilatör devresi

Bu jeneratör devresinde, mantık elemanını lineer moda getiren direnç R1 üzerinden OOS gerçekleştirilir ve C1 kondansatörü üzerinden frekansa bağlı bir PIC gerçekleştirilir. Bu oluşturucu hem TTL hem de CMOS öğelerini kullanır. Direnç R1'in direnci, OOS'lu amplifikatör aşamasıyla aynı şekilde seçilir ve kapasitörün kapasitansı, gerekli salınım frekansına bağlıdır. Üretim frekansı yaklaşık formülle belirlenebilir.

F \ yaklaşık \ frac (0.7) (RC)

Çalışma sırasında, böyle bir jeneratör üretir dikdörtgen darbeler yaklaşık 2'ye eşit bir görev döngüsü ile Maksimum üretim frekansı, mantık elemanlarının anahtarlama gecikmesinin değeri ile sınırlıdır, bu nedenle CMOS mikro devreleri maksimum frekans 2 ... 4 MHz, ve için TTL- birçok onlarca MHz.

Dijital mikro devreleri kullanarak, ayrıca şunları da alabilirsiniz: sinüs dalgası üreteci, bunun için kullanmak gerekir LC devresi... Böyle bir jeneratörün bir diyagramı aşağıda gösterilmiştir.


LC jeneratör devresi

Hem seri hem de paralel, frekansa bağlı iletişim olarak kullanılır. salınım devresi, ancak her durumda, titreşim frekansı Thompson'ın formülü

F = \ frac (1) (2 \ pi \ sqrt (LC))

Direnç R1'in direnci aynı şekilde seçilir. amplifikatör aşaması için.

Yukarıda açıklanan jeneratörlerin dezavantajı, üretilen frekansın düşük kararlılığıdır. Artırmak için, piezoseramik ve kuvars rezonatörleri kullanılır. geri besleme döngüsünde kapasitör veya salınımlı devre yerine.


Kuvars frekans stabilizasyonlu osilatör devresi

Teori iyidir, ama onsuz pratik uygulama bunlar sadece kelimeler.